2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩10頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、<p>  一、設(shè)計題目:樂曲硬件演奏電路的VHDL設(shè)計</p><p><b>  二、設(shè)計目標(biāo):</b></p><p>  了解一般樂曲演奏電路設(shè)計設(shè)計方法,學(xué)習(xí)VHDL語言,熟悉EDA設(shè)計軟件QuartusII和MAX+plusⅡ,加強獨立完成電子設(shè)計的能力。 </p><p>  (1)能夠播放“梁?!睒非?lt;/p&g

2、t;<p> ?。?)能夠通過LED顯示音階。</p><p> ?。?)(選作)具有“播放/停止”功能,并在此基礎(chǔ)上實現(xiàn)“按鍵演奏”的電子琴功能。</p><p>  主芯片型號為FLEX10K10LC84-4</p><p>  三、實驗電路的工作原理:(演奏電路邏輯圖)</p><p>  組成樂曲的每個音符的發(fā)音頻率值及

3、其持續(xù)的時間是樂曲能夠連續(xù)演奏所需的兩個基本要素,設(shè)計演奏電路的關(guān)鍵就是獲得這兩個要素所對應(yīng)的數(shù)值以及通過純硬件的手段來利用這些數(shù)值實現(xiàn)所希望樂曲的演奏效果。</p><p>  演奏電路邏輯圖有三部分:音樂節(jié)拍和音調(diào)發(fā)生器、簡譜碼對應(yīng)的分頻預(yù)置數(shù)查表電路、數(shù)控分頻與演奏發(fā)生器。</p><p><b>  演奏電路邏輯圖:</b></p><p&

4、gt;<b>  四、設(shè)計內(nèi)容:</b></p><p>  1.完成程序的編輯工作。</p><p>  2.將音樂數(shù)據(jù)制作成LMP_ROM文件.</p><p>  3.將程序加載到MAX+plusⅡ中進(jìn)行編譯、仿真,并保存仿真結(jié)果。</p><p>  4.到實驗室進(jìn)行下載驗證。引腳進(jìn)行鎖定,然后下載到實驗芯片中觀

5、察實驗結(jié)果。</p><p><b>  五、仿真結(jié)果:</b></p><p>  1.音樂節(jié)拍和音調(diào)發(fā)生器(NoteTabs.VHD)</p><p>  notetabs模塊中設(shè)置了一個8位二進(jìn)制計數(shù)器(計數(shù)最大值138),作為音符數(shù)據(jù)ROM的地址發(fā)生器。這個計數(shù)器的計數(shù)頻率選為4Hz,即每一個計數(shù)值的停留時間為0.25秒,恰為當(dāng)全音符設(shè)

6、為1秒時,四四拍的4分音符持續(xù)時間。隨著notetabs模塊中的計數(shù)器按4Hz的時鐘速率作為加法計數(shù)時,即隨地址值遞增時,音符數(shù)據(jù)ROM中的音符數(shù)據(jù)將從ROM中通過ToneIndex[3..0]端口輸向ToneTaba模塊,“梁?!睒非烷_始連續(xù)自然的演奏起來了。</p><p>  Notetabs模塊仿真圖:</p><p>  2.簡譜碼對應(yīng)的分頻預(yù)置數(shù)查表電路(ToneTaba.V

7、HD)</p><p>  音符的持續(xù)時間需根據(jù)樂曲的速度及每個音符的節(jié)拍數(shù)來確定,tonetaba模塊的功能首先是為speakera提供決定所發(fā)音符的預(yù)置數(shù),而此數(shù)在speakera輸入口停留的時間即為此音符的節(jié)拍值。Tonetaba模塊是樂曲簡碼對應(yīng)的分頻預(yù)置數(shù)查表電路。其中設(shè)置了“梁祝”樂曲全部音符所對應(yīng)的分頻預(yù)置數(shù),共13個,每一音符的停留時間由音樂節(jié)拍和音調(diào)發(fā)生器模塊NoteTabs的clk的輸入頻率決

8、定。這13個值的輸出由對應(yīng)于ToneTaba的4位輸入值Index[3..0]確定,而Index[3..0]最多有16種可選值。輸向ToneTaba中Index[3..0]的值ToneIndex[3..0]的輸出值與持續(xù)時間有模塊notetabs決定。</p><p>  Tonetaba仿真圖:</p><p>  4.數(shù)控分頻與演奏發(fā)生器(Speakera.VHD)</p>

9、<p>  音符的頻率可由次模塊獲得,這是一個數(shù)控分頻器,由其clk端輸入一個具有較高頻率的信號,通過speakera分頻后由spkout輸出,由于直接從數(shù)控分頻器中出來的輸出信號是脈沖較窄的脈沖信號,為了有助于驅(qū)動揚聲器,需另加一個D觸發(fā)器以均衡其占空比,但這時的頻率是原來的1/2。Speakera對clk輸入信號的分頻的預(yù)置數(shù)Tone[10..0]與spkout的輸出頻率就有了對應(yīng)關(guān)系。</p><

10、p>  Sperkera模塊仿真圖:</p><p>  5.《梁?!穖usic.vhd(音樂數(shù)據(jù)):</p><p>  將數(shù)據(jù)保存為.mif格式然后制作成LMP_ROM文件。</p><p>  六、實驗結(jié)果:(程序下載驗證是否通過)</p><p><b>  試驗成功!</b></p><

11、;p>  將設(shè)計出的演奏電路的程序經(jīng)過編譯(Compiler)后,選擇FLEX10K系列中EPF10K10LC84-4作為目標(biāo)器件(Assign/Device),并進(jìn)行管腳鎖定(Floorplan Editor)。器件編程(Programmer),將編譯生成的*.sof文件下載到目標(biāo)芯片。觀察到數(shù)碼管5顯示出演奏時的音樂簡譜,發(fā)光二極管D5指示音調(diào)高低,同時實驗箱自帶蜂鳴器(Speaker)奏出“梁?!蹦瞧嗝绖尤说男桑瑢嶒灣晒?。

12、</p><p><b>  七、總結(jié):</b></p><p>  本次樂曲硬件演奏電路的VHDL設(shè)計主要采用數(shù)控分頻原理來實現(xiàn),在本次EDA課程設(shè)計中,我與其他同學(xué)相互交流,相互學(xué)習(xí),提高了用VHDL語言編程的技能及進(jìn)一步加強了MAX+plusⅡ?qū)@種EDA流行軟件的應(yīng)用,通過這次設(shè)計,我們溫故了已學(xué)的理論知識和實踐操作技能,同時也學(xué)習(xí)了更多的新的知識和技能,為以

13、后進(jìn)一步的學(xué)習(xí)和工作打下了堅實的基礎(chǔ)。</p><p>  本設(shè)計得到的電路可以作為一個樂曲演奏模塊,如果要演奏出另外一首曲子,只需將該曲子出現(xiàn)的簡譜分頻預(yù)置數(shù)寫入ToneTaba.VHD,再將該曲子的節(jié)拍表制作成ROM文件輸入到NoteTabs.VHD中,編譯后,編程下載即可。</p><p><b>  具體代碼:</b></p><p>

14、  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  entity songer is</p><p>  port(clk4MHz:in std_logic;</p><p>  clk4Hz:in std_logic;</p><p>  

15、clk:in std_logic;</p><p>  sel:out std_logic_vector(2 downto 0);</p><p>  sm:out std_logic_vector(6 downto 0);</p><p>  spk:out std_logic);</p><p><b>  end;</b

16、></p><p>  architecture one of songer is</p><p>  component notetabs</p><p>  port(clk:in std_logic;</p><p>  toneindex:out integer range 0 to 15);</p><p&

17、gt;  end component;</p><p>  component tonetaba</p><p>  port(index:in integer range 0 to 15;</p><p>  low:out std_logic_vector(3 downto 0);</p><p>  mid:out std_logic_

18、vector(3 downto 0);</p><p>  high:out std_logic_vector(3 downto 0);</p><p>  tone:out integer range 0 to 16#1FFF#);</p><p>  end component;</p><p>  component speakera&

19、lt;/p><p>  port(clk:in std_logic;</p><p>  tone:in integer range 0 to 16#1FFF#;</p><p>  spks:out std_logic);</p><p>  end component;</p><p>  signal tone:in

20、teger range 0 to 16#1FFF#;</p><p>  signal toneindex:integer range 0 to 15;</p><p>  signal q_s:std_logic_vector(3 downto 0);</p><p>  signal tmp:integer range 0 to 2;</p>&l

21、t;p>  signal low1,mid1,high1:std_logic_vector(3 downto 0);</p><p><b>  begin</b></p><p>  u1:notetabs port map(clk=>clk4Hz,toneindex=>toneindex);</p><p>  u2:to

22、netaba port map(index=>toneindex,tone=>tone,low=>low1,mid=>mid1,high=>high1);</p><p>  u3:speakera port map(clk=>clk4MHz,tone=>tone,spks=>spk);</p><p>  process(clk)</

23、p><p><b>  begin</b></p><p>  if (clk'event and clk='1')then</p><p>  tmp<=tmp+1;</p><p><b>  end if ;</b></p><p>  end

24、 process;</p><p>  process(tmp)</p><p><b>  begin</b></p><p>  if tmp=0 then q_s<=low1;</p><p>  sel<="001";</p><p>  elsif tmp

25、=1 then q_s<=mid1;</p><p>  sel<="010";</p><p>  elsif tmp=2 then q_s<=high1;</p><p>  sel<="100";</p><p><b>  end if ;</b>&

26、lt;/p><p>  end process;</p><p>  process(q_s)</p><p><b>  begin</b></p><p>  case q_s is</p><p>  when"0000"=>sm<="1111110&q

27、uot;;</p><p>  when"0001"=>sm<="0110000";</p><p>  when"0010"=>sm<="1101101";</p><p>  when"0011"=>sm<="1111

28、001";</p><p>  when"0100"=>sm<="0110011";</p><p>  when"0101"=>sm<="1011011";</p><p>  when"0110"=>sm<="

29、;1011111";</p><p>  when"0111"=>sm<="1110000";</p><p>  when"1000"=>sm<="1111111";</p><p>  when"1001"=>sm<=

30、"1111011";</p><p>  when others =>sm<="0000000";</p><p><b>  end case;</b></p><p>  end process;</p><p><b>  end;</b>&

31、lt;/p><p>  音樂節(jié)拍和音調(diào)發(fā)生器(NoteTabs.VHD)</p><p>  LIBRARY IEEE;</p><p>  USE IEEE.STD_LOGIC_1164.ALL;</p><p>  ENTITY NoteTabs IS</p><p>  PORT (clk:IN STD_LOGIC;

32、</p><p>  ToneIndex : OUT integer range 0 to 15);</p><p><b>  END;</b></p><p>  ARCHITECTURE one OF NoteTabs IS</p><p>  SIGNAL Counter :integer range 0 to

33、138;</p><p><b>  BEGIN</b></p><p>  CNT8 :PROCESS(clk)</p><p><b>  BEGIN</b></p><p>  IF Counter=138 THEN Counter <= 0;</p><p> 

34、 ELSIF clk'EVENT AND clk = '1' THEN </p><p>  Counter <= Counter+1; </p><p><b>  END IF;</b></p><p>  END PROCESS;</p><p>  SEARCH:PROCESS(C

35、OUNTER)</p><p><b>  begin</b></p><p>  case counter is </p><p>  when 00=>toneindex<=3;</p><p>  when 01=>toneindex<=3;</p><p>  

36、when 02=>toneindex<=3;</p><p>  when 03=>toneindex<=3;</p><p>  when 04=>toneindex<=5;</p><p>  when 05=>toneindex<=5;</p><p>  when 06=>tone

37、index<=5;</p><p>  when 07=>toneindex<=6;</p><p>  when 08=>toneindex<=8;</p><p>  when 09=>toneindex<=8;</p><p>  when 10=>toneindex<=8; <

38、;/p><p>  when 11=>toneindex<=9;</p><p>  when 12=>toneindex<=6;</p><p>  when 13=>toneindex<=8;</p><p>  when 14=>toneindex<=5;</p><p&g

39、t;  when 15=>toneindex<=5;</p><p>  when 16=>toneindex<=12;</p><p>  when 17=>toneindex<=12;</p><p>  when 18=>toneindex<=12;</p><p>  when 19=&

40、gt;toneindex<=15;</p><p>  when 20=>toneindex<=13;</p><p>  when 21=>toneindex<=12;</p><p>  when 22=>toneindex<=10;</p><p>  when 23=>toneindex

41、<=12;</p><p>  when 24=>toneindex<=9;</p><p>  when 25=>toneindex<=9;</p><p>  when 26=>toneindex<=9;</p><p>  when 27=>toneindex<=9;</p&g

42、t;<p>  when 28=>toneindex<=9; </p><p>  when 29=>toneindex<=9;</p><p>  when 30=>toneindex<=9;</p><p>  when 31=>toneindex<=0;</p><p>  

43、when 32=>toneindex<=9;</p><p>  when 33=>toneindex<=9;</p><p>  when 34=>toneindex<=9;</p><p>  when 35=>toneindex<=10;</p><p>  when 36=>ton

44、eindex<=7;</p><p>  when 37=>toneindex<=7;</p><p>  when 38=>toneindex<=6;</p><p>  when 39=>toneindex<=6;</p><p>  when 40=>toneindex<=5;<

45、;/p><p>  when 41=>toneindex<=5;</p><p>  when 42=>toneindex<=5;</p><p>  when 43=>toneindex<=6;</p><p>  when 44=>toneindex<=8;</p><p&g

46、t;  when 45=>toneindex<=8;</p><p>  when 46=>toneindex<=9;</p><p>  when 47=>toneindex<=9;</p><p>  when 48=>toneindex<=3;</p><p>  when 49=>

47、toneindex<=3;</p><p>  when 50=>toneindex<=8; </p><p>  when 51=>toneindex<=8;</p><p>  when 52=>toneindex<=6;</p><p>  when 53=>toneindex<=5

48、;</p><p>  when 54=>toneindex<=6;</p><p>  when 55=>toneindex<=8;</p><p>  when 56=>toneindex<=5;</p><p>  when 57=>toneindex<=5;</p><

49、;p>  when 58=>toneindex<=5;</p><p>  when 59=>toneindex<=5;</p><p>  when 60=>toneindex<=5;</p><p>  when 61=>toneindex<=5;</p><p>  when 62=

50、>toneindex<=5;</p><p>  when 63=>toneindex<=5;</p><p>  when 64=>toneindex<=10;</p><p>  when 65=>toneindex<=10;</p><p>  when 66=>toneindex&

51、lt;=10;</p><p>  when 67=>toneindex<=12;</p><p>  when 68=>toneindex<=7;</p><p>  when 69=>toneindex<=7;</p><p>  when 70=>toneindex<=9;</p&g

52、t;<p>  when 71=>toneindex<=9;</p><p>  when 72=>toneindex<=6;</p><p>  when 73=>toneindex<=8; </p><p>  when 74=>toneindex<=5;</p><p> 

53、 when 75=>toneindex<=5;</p><p>  when 76=>toneindex<=5;</p><p>  when 77=>toneindex<=5;</p><p>  when 78=>toneindex<=5;</p><p>  when 79=>ton

54、eindex<=5;</p><p>  when 80=>toneindex<=3;</p><p>  when 81=>toneindex<=5;</p><p>  when 82=>toneindex<=3;</p><p>  when 83=>toneindex<=3;<

55、;/p><p>  when 84=>toneindex<=5;</p><p>  when 85=>toneindex<=6;</p><p>  when 86=>toneindex<=7;</p><p>  when 87=>toneindex<=9;</p><p&g

56、t;  when 88=>toneindex<=6;</p><p>  when 89=>toneindex<=6;</p><p>  when 90=>toneindex<=6;</p><p>  when 91=>toneindex<=6;</p><p>  when 92=>

57、toneindex<=6;</p><p>  when 93=>toneindex<=6;</p><p>  when 94=>toneindex<=5;</p><p>  when 95=>toneindex<=6;</p><p>  when 96=>toneindex<=8;

58、</p><p>  when 97=>toneindex<=8;</p><p>  when 98=>toneindex<=8;</p><p>  when 99=>toneindex<=9;</p><p>  when 100=>toneindex<=12;</p>&l

59、t;p>  when 101=>toneindex<=12;</p><p>  when 102=>toneindex<=12;</p><p>  when 103=>toneindex<=10;</p><p>  when 104=>toneindex<=9;</p><p>  

60、when 105=>toneindex<=9;</p><p>  when 106=>toneindex<=10;</p><p>  when 107=>toneindex<=9;</p><p>  when 108=>toneindex<=8;</p><p>  when 109=&g

61、t;toneindex<=8;</p><p>  when 110=>toneindex<=6;</p><p>  when 111=>toneindex<=5;</p><p>  when 112=>toneindex<=3;</p><p>  when 113=>toneindex&

62、lt;=3;</p><p>  when 114=>toneindex<=3;</p><p>  when 115=>toneindex<=3;</p><p>  when 116=>toneindex<=8;</p><p>  when 117=>toneindex<=8;</p

63、><p>  when 118=>toneindex<=8;</p><p>  when 119=>toneindex<=8;</p><p>  when 120=>toneindex<=6;</p><p>  when 121=>toneindex<=8;</p><p&

64、gt;  when 122=>toneindex<=6;</p><p>  when 123=>toneindex<=5;</p><p>  when 124=>toneindex<=3;</p><p>  when 125=>toneindex<=5;</p><p>  when 12

65、6=>toneindex<=6;</p><p>  when 127=>toneindex<=8;</p><p>  when 128=>toneindex<=5;</p><p>  when 129=>toneindex<=5;</p><p>  when 130=>tonein

66、dex<=5;</p><p>  when 131=>toneindex<=5;</p><p>  when 132=>toneindex<=5;</p><p>  when 133=>toneindex<=5;</p><p>  when 134=>toneindex<=5;&l

67、t;/p><p>  when 135=>toneindex<=5;</p><p>  when 136=>toneindex<=0;</p><p>  when 137=>toneindex<=0;</p><p>  when 138=>toneindex<=0;</p>&l

68、t;p>  when others=>null;</p><p><b>  end case;</b></p><p>  end process;</p><p><b>  END;</b></p><p>  簡譜碼對應(yīng)的分頻預(yù)置數(shù)查表電路(ToneTaba.VHD)</p

69、><p>  library ieee; </p><p>  use ieee.std_logic_1164.all;</p><p>  entity tonetaba is</p><p>  port( index:in integer range 0 to 15;</p>&

70、lt;p>  low:out std_logic_vector(3 downto 0);</p><p>  mid:out std_logic_vector(3 downto 0);</p><p>  high:out std_logic_vector(3 downto 0);</p><p>  tone:out integer range 0 to 1

71、6#1FFF#);</p><p><b>  end;</b></p><p>  architecture one of tonetaba is</p><p><b>  begin</b></p><p>  search:process(index)</p><p>

72、;<b>  begin</b></p><p>  case index is </p><p>  when 0=>tone<=8191;low<="0000";mid<="0000";high<="0000";</p><p>  when 3=

73、>tone<=2124;low<="0011";mid<="0000";high<="0000";</p><p>  when 5=>tone<=3089;low<="0101";mid<="0000";high<="0000";&l

74、t;/p><p>  when 6=>tone<=3646;low<="0110";mid<="0000";high<="0000";</p><p>  when 7=>tone<=4141;low<="0111";mid<="0000";

75、high<="0000";</p><p>  when 8=>tone<=4369;low<="0000";mid<="0001";high<="0000";</p><p>  when 9=>tone<=4786;low<="0000&quo

76、t;;mid<="0010";high<="0000";</p><p>  when 10=>tone<=5157;low<="0000";mid<="0011";high<="0000";</p><p>  when 12=>tone&l

77、t;=5640;low<="0000";mid<="0101";high<="0000";</p><p>  when 13=>tone<=5918;low<="0000";mid<="0110";high<="0000";</p>

78、<p>  when 15=>tone<=6280;low<="0000";mid<="0000";high<="0001";</p><p>  when others=>null;</p><p><b>  end case;</b></p>

79、<p>  end process;</p><p><b>  end;</b></p><p>  數(shù)控分頻與演奏發(fā)生器(Speakera.VHD)</p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><

80、p>  entity speakera is</p><p>  PORT (clk : IN STD_LOGIC;</p><p>  Tone : IN integer range 0 to 16#1FFF#;</p><p>  SpkS : OUT STD_LOGIC );</p><p><b>  END;<

81、/b></p><p>  ARCHITECTURE one OF Speakera IS</p><p>  SIGNAL PreCLK, FullSpkS : STD_LOGIC;</p><p><b>  BEGIN</b></p><p>  DivideCLK : PROCESS(clk)</p&

82、gt;<p>  VARIABLE Count4 : integer range 0 to 15 ;</p><p><b>  BEGIN</b></p><p>  PreCLK <= '0'; </p><p>  IF Count4>13 THEN </p><p>  

83、PreCLK <= '1'; Count4 := 0;</p><p>  ELSIF clk'EVENT AND clk = '1' THEN </p><p>  Count4 := Count4 + 1;</p><p><b>  END IF;</b></p><p&g

84、t;  END PROCESS;</p><p>  GenSpkS : PROCESS(PreCLK, Tone)</p><p>  VARIABLE Count13 : integer range 0 to 16#1FFF#;</p><p><b>  BEGIN</b></p><p>  IF PreCLK&

85、#39;EVENT AND PreCLK = '1' THEN</p><p>  IF Count13 = 16#1FFF# THEN </p><p>  Count13 := Tone ; </p><p>  FullSpkS <= '1';</p><p>  ELSE Count13 := C

86、ount13 + 1;</p><p>  FullSpkS <= '0'; </p><p><b>  END IF;</b></p><p><b>  END IF;</b></p><p>  END PROCESS;</p><p>  De

87、laySpkS : PROCESS(FullSpkS)</p><p>  VARIABLE Count2 : STD_LOGIC;</p><p><b>  BEGIN</b></p><p>  IF FullSpkS'EVENT AND FullSpkS = '1' THEN </p><p&

88、gt;  Count2 := NOT Count2;</p><p>  IF Count2 = '1' THEN SpkS <= '1';</p><p>  ELSE SpkS <= '0'; END IF;</p><p><b>  END IF;</b></p>

89、<p>  END PROCESS;</p><p><b>  END;</b></p><p><b>  設(shè)置引腳</b></p><p><b>  Clk 2</b></p><p><b>  Clk4hz 1</b></p&

90、gt;<p>  Clk4MHZ 43</p><p><b>  Sel0 5</b></p><p><b>  Sel1 6</b></p><p><b>  Sel2 7</b></p><p><b>  Sm0 24<

91、/b></p><p><b>  Sm1 23</b></p><p><b>  Sm2 22</b></p><p><b>  Sm3 21</b></p><p><b>  Sm4 19</b></p>&l

92、t;p><b>  Sm5 18</b></p><p><b>  Sm6 17</b></p><p><b>  Spk 3</b></p><p><b>  波形圖:</b></p><p>  Speakera.vhd<

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論