版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領
文檔簡介
1、<p> EDA課程設計實驗報告</p><p> 學 院 信息工程學院</p><p> 專 業(yè) 通信工程 </p><p> 學 號 </p><p> 姓 名 </p><p> 任課教師
2、 </p><p> 2013年 10 月30 日</p><p><b> 一、FPGA簡介</b></p><p> 隨著基于FPGA的EDA技術的發(fā)展和應用領域的擴大與深入,EDA技術在電子信息、通信、自動控制及計算機等領域的重要性日益突出。作為一個學通信工程專業(yè)的學生,我們必須不斷地去了解更多的新產品信息,這就更加要求我
3、們對EDA有個全面的認識。信號發(fā)生器在我們的日常中有很重要的應用,用VHDL語言去實現設計將會使我們對本學科知識可以更好地掌握。</p><p> 本設計是一個基于VHDL的采用自頂向下設計方法實現的信號發(fā)生器,該設計方法具有外圍電路簡單,程序修改靈活和調試容易等特點,并通過計算機仿真證明了設計的正確性。</p><p><b> 二、題目分析</b></p
4、><p> 要求設計一個函數發(fā)生器,該函數發(fā)生器能夠產生遞增斜波、遞減斜波、方波、三角波、正弦波、及階梯波,并且可以通過選擇開關選擇相應的波形輸出;系統(tǒng)具有復位的功能;通過按鍵確定輸出的波形及確定是否輸出波形。FPGA是整個系統(tǒng)的核心,構成系統(tǒng)控制器,波形數據生成器,加法器,運算/譯碼等功能。</p><p> 通過以上分析設計要求完成的功能,確定函數發(fā)生器可由遞增斜波產生模塊、遞減斜波產
5、生模塊、三角波產生模塊、階梯波產生模塊、正弦波產生模塊、方波產生模塊和輸出波形選擇模塊組成,以及按鍵復位控制和時鐘輸入。由此可確定系統(tǒng)的總體原理框圖為:</p><p><b> 三、方案選擇</b></p><p> 1、波形函數發(fā)生方案對比選擇</p><p> 波形函數發(fā)生是本設計的最重要的部分,實現函數發(fā)生的途徑也有很多,因此必須
6、選擇一種易于實現且精度高的方案,以此來提高本設計的實用性。</p><p> 本信號發(fā)生器利用在系統(tǒng)編程技術和FPGA芯片產生。用VHDL語言編寫程序,調試成功后下載至實驗裝置的芯片上,再利用外接D/A轉換電路實現以上設計功能。此種方案完全可以生成設計要求的6種波形,而且通過軟件仿真可以直觀的觀測的輸出的波形參數,方便調試和更改波形參數,外圍電路簡單,減少器件損耗,精度高。</p><p&g
7、t; 2、波形函數輸出控制方式選擇</p><p> 利用VHDL語言寫出數據選擇器,然后每種函數發(fā)生器的輸出和數據選擇器輸入相連接,通過控制開關選擇對應的波形輸出。方案二完全可以得到方案一的設計要求,而且只需一個D/A轉換器就可以。電路不需要外部搭建,節(jié)約成本且控制簡單方便。在實驗課時候已經完成8選1數據選擇器的設計制作,因此本次設計可以直接調用。此方案設計簡便、節(jié)約制作元件和成本、控制簡便等優(yōu)點,因此作為
8、波形函數輸出控制方式。</p><p><b> 四、系統(tǒng)細化框圖</b></p><p> 通過以上各個模塊的分析最終確定函數信號發(fā)生器系統(tǒng)的最終整體的原理框圖為:</p><p> 系統(tǒng)時鐘輸入后,通過復位開關選擇是否產生波形,當各個模塊產生相應的信號波形后,通過波形選擇模塊波形選擇開關選澤輸出不同的波形,再通過D/A轉換器轉換,就可
9、以把數字信號(由FPGA輸出)變成了相應模擬的信號波形。整個系統(tǒng)設計的核心就是FPGA部分。</p><p> 五、各模塊程序設計及仿真</p><p> 根據自上而下的思路進行項目設計。明確每個模塊的功能以后,開始編寫各個模塊的程序。</p><p><b> 1、遞增斜波模塊</b></p><p> 遞增斜
10、波icrs的VHDL程序如附錄所示,其中clk是輸入時鐘端口,reset為輸入復位端口,q為八位二進制輸出端口。</p><p> 圖1 遞增斜波模塊仿真圖</p><p> 程序設計的當復位信號為0時,輸出為0,無對應的波形產生。當復位信號為1時,每當檢測到時鐘上升沿時,計數器值加1,當增加到最大后清零。計數值增加呈現線性關系,因此輸出的波形是遞增的斜波。從仿真波形圖也能看出這種變化
11、規(guī)律。模塊程序如下:</p><p> LIBRARY IEEE; </p><p> USE IEEE.STD_LOGIC_1164.ALL; </p><p> USE IEEE.STD_LOGIC_UNSIGNED.ALL; </p><p> ENTITY icrs IS</p><p> PORT(
12、clk,reset: IN STD_LOGIC; </p><p> q: OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); </p><p> END icrs; </p><p> ARCHITECTURE behave OF icrs IS</p><p><b> BEGIN</b>&
13、lt;/p><p> PROCESS(clk,reset)</p><p> VARIABLE tmp : STD_LOGIC_VECTOR(7 DOWNTO 0);</p><p><b> BEGIN</b></p><p> IF reset='0' THEN</p><p&
14、gt; tmp:= "00000000";--復位信號清零</p><p> ELSIF clk'EVENT AND clk='1' THEN</p><p> IF tmp="11111111" THEN</p><p> tmp:="00000000";--遞增到
15、最大值清零</p><p><b> ELSE</b></p><p> tmp:=tmp+1;--遞增運算</p><p><b> END IF; </b></p><p><b> END IF; </b></p><p><b&
16、gt; q<=tmp;</b></p><p> END PROCESS; </p><p> END behave;</p><p><b> 2、遞減斜波模塊</b></p><p> 遞減斜波dcrs的VHDL程序如附錄所示,其中clk是輸入時鐘端口,reset為輸入復位端口,q為八位二
17、進制輸出端口。</p><p> 圖2 遞減斜波模塊仿真圖</p><p> 程序設計的是復位信號為0時輸出為0,無對應的波形產生。當復位信號為1時,當每當檢測到時鐘上升沿時,計數值減1,當減到0后賦值到最大。計數值減少呈現線性關系,因此輸出的波形是遞減的斜波。從仿真波形圖也能看出這種變化規(guī)律。模塊程序如下:</p><p> LIBRARY IEEE; &l
18、t;/p><p> USE IEEE.STD_LOGIC_1164.ALL;</p><p> USE IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p> ENTITY dcrs IS</p><p> PORT (clk,reset:IN STD_LOGIC;</p><p> q:OU
19、T STD_LOGIC_VECTOR(7 DOWNTO 0));</p><p><b> END dcrs;</b></p><p> ARCHITECTURE behave OF dcrs IS</p><p><b> BEGIN</b></p><p> PROCESS(clk,re
20、set)</p><p> VARIABLE tmp:STD_LOGIC_VECTOR(7 DOWNTO 0); </p><p><b> BEGIN</b></p><p> IF reset='0' THEN</p><p> tmp:="11111111";--復位
21、信號置最大值</p><p> ELSIF clk'EVENT AND clk='1' THEN--檢測時鐘上升沿</p><p> IF tmp="00000000" THEN</p><p> tmp:="11111111";--遞減到0置最大值</p><p>
22、;<b> ELSE</b></p><p> tmp:=tmp-1;--遞減運算</p><p><b> END IF; </b></p><p><b> END IF;</b></p><p><b> q<=tmp;</b>&
23、lt;/p><p> END PROCESS; </p><p> END behave;</p><p><b> 3、三角波模塊</b></p><p> 三角波波delat的VHDL程序如附錄所示,其中clk是輸入時鐘端口,reset為輸入復位端口,q為八位二進制輸出端口。</p><p&g
24、t; 三角波波形是對稱的,每邊呈線形變化,所以可以根據數據做簡單運算,就可以得到三角波。</p><p> 圖3 三角波模塊仿真圖</p><p> 程序設計的是reset復位信號為0時輸出為0,無對應的波形產生。當復位信號為1時,當每當檢測到時鐘上升沿時,當計數的數據不是最大值時,數值做遞增運算,當增大到最大時,然后再做遞減運算,因此輸出的波形便呈現出三角波的形狀。從仿真波形圖也能
25、看出這種變化規(guī)律。模塊程序如下:</p><p> LIBRARY IEEE; </p><p> USE IEEE.STD_LOGIC_1164.ALL; </p><p> USE IEEE.STD_LOGIC_UNSIGNED.ALL; </p><p> ENTITY delta IS</p><p>
26、 PORT(clk,reset:IN STD_LOGIC; </p><p> q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));</p><p> end delta;</p><p> ARCHITECTURE behave OF delta IS</p><p><b> BEGIN</b
27、></p><p> PROCESS(clk,reset)</p><p> VARIABLE tmp:STD_LOGIC_VECTOR(7 DOWNTO 0); </p><p> VARIABLE a:STD_LOGIC; </p><p><b> BEGIN</b></p><p
28、> IF reset='0' THEN</p><p> tmp:="00000000";--復位信號為0,置最小值</p><p> ELSIF clk'EVENT AND clk='1' THEN--檢測時鐘上升沿</p><p> IF a='0' THEN<
29、;/p><p> IF tmp="11111110" THEN</p><p> tmp:="11111111"; --置最大值</p><p><b> a:='1'; </b></p><p> ELSE --不是最大值時遞增&l
30、t;/p><p> tmp:=tmp+1;--遞增運算</p><p><b> END IF; </b></p><p><b> ELSE</b></p><p> IF tmp ="00000001" THEN</p><p> tmp:=
31、"00000000"; --置最小值</p><p><b> a:='0';</b></p><p> ELSE --a為1時,執(zhí)行遞減運算</p><p> tmp:=tmp-1;--遞減運算</p><p><b> END IF; </b
32、></p><p><b> END IF; </b></p><p><b> END IF; </b></p><p><b> q<=tmp; </b></p><p> END PROCESS;</p><p> END b
33、ehave;</p><p><b> 4、階梯波模塊</b></p><p> 階梯波ladder的VHDL程序如附錄所示,其中clk是輸入時鐘端口,reset為輸入復位端口,q為八位二進制輸出端口。</p><p> 階梯波設計的是數據的遞增是以一定的階梯常數向上增加,所以輸出的波形呈現是成階梯狀的,而不是,完全呈現是直線增長。模塊程
34、序如下:</p><p> LIBRARY IEEE; </p><p> USE IEEE.STD_LOGIC_1164.ALL; </p><p> USE IEEE.STD_LOGIC_UNSIGNED.ALL; </p><p> ENTITY ladder IS</p><p> PORT(clk,
35、reset:IN STD_LOGIC; </p><p> q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));</p><p> END ladder;</p><p> ARCHITECTURE behave OF ladder IS</p><p><b> BEGIN</b></
36、p><p> PROCESS(clk,reset)</p><p> VARIABLE tmp: STD_LOGIC_VECTOR(7 DOWNTO 0); --定義內部變量</p><p> VARIABLE a: STD_LOGIC; </p><p><b> BEGIN</b></p><
37、;p> IF reset='0' THEN</p><p> tmp:="00000000";--復位信號為0,置最小值</p><p> ELSIF clk'EVENT AND clk='1' THEN--檢測時鐘上升沿</p><p> IF a='0' THEN
38、--判斷a數值,計數。</p><p> IF tmp="11111111" THEN</p><p> tmp:="00000000"; --計數到最大清零</p><p><b> a:='1';</b></p><p><b> ELS
39、E</b></p><p> tmp:=tmp+16;--階梯常數為16,可修改</p><p><b> a:='1'; </b></p><p><b> END IF; </b></p><p><b> ELSE</b></
40、p><p> a:='0';--循環(huán)計數</p><p><b> END IF; </b></p><p><b> END IF;</b></p><p><b> q<=tmp;</b></p><p> END
41、 PROCESS; </p><p> END behave;</p><p><b> 5、正弦波模塊</b></p><p> 正弦波模塊由三個部分組成:6位地址發(fā)生器、正弦信號數據ROM和原理圖頂層設計文件。</p><p><b> 結構圖如下圖所示:</b></p>
42、<p> 上圖所示的信號發(fā)生結構中圖中,頂層文件sin.bdf在FPGA中實現,包含兩個部分:ROM的地址信號發(fā)生器,由6位計數器擔任;一個正弦數據ROM,由LPM_ROM模塊構成,6位地址線,8位數據線,一個周期含有64個8位數據。LPM_ROM底層是FPGA中的EAB、ESB或M4K等模塊。地址發(fā)生器的時鐘CLK的輸入頻率F0與每周期的波形數據點數以及D/A輸出頻率F的關系是:F=F0/64。</p>&l
43、t;p> 正弦波產生原理:通過循環(huán)不斷地從波形數據ROM文件中依次讀取正弦波一個周期在時域上64個采樣點的波形數據送入波形DAC,從而產生正弦波。正弦波的頻率取決于讀取數據的速度。</p><p> 圖5-1 正弦波模塊仿真圖</p><p> 圖5-2頂層文件原理圖sin.bdf</p><p> 波形數據ROM文件sin_rom.vhd如下:<
44、;/p><p> LIBRARY ieee;</p><p> USE ieee.std_logic_1164.all;</p><p> LIBRARY altera_mf;</p><p> USE altera_mf.all;--使用宏功能庫中的所有元件</p><p> ENTITY sin_rom
45、IS</p><p><b> PORT</b></p><p><b> (</b></p><p> address: IN STD_LOGIC_VECTOR (5 DOWNTO 0);</p><p> inclock: IN STD_LOGIC ;</p>&l
46、t;p> q: OUT STD_LOGIC_VECTOR (7 DOWNTO 0)</p><p><b> );</b></p><p> END sin_rom;</p><p> ARCHITECTURE SYN OF sin_rom IS</p><p> SIGNAL sub_wire0:
47、 STD_LOGIC_VECTOR (7 DOWNTO 0);</p><p> COMPONENT altsyncram--例化altsyncram元件,調用了LPM模塊altsyncram</p><p> GENERIC ( --參數傳遞語句</p><p> address_aclr_
48、a: STRING;</p><p> init_file: STRING;</p><p> intended_device_family: STRING;--類屬參量數據類型定義</p><p> lpm_hint: STRING;</p><p> lpm_type: STRING;</p>
49、<p> numwords_a: NATURAL;</p><p> operation_mode: STRING;</p><p> outdata_aclr_a: STRING;</p><p> outdata_reg_a: STRING;</p><p> widthad_a: NATURAL
50、;</p><p> width_a: NATURAL;</p><p> width_byteena_a: NATURAL</p><p><b> );</b></p><p><b> PORT (</b></p><p> clock0: IN S
51、TD_LOGIC ; ---altsyncram元件接口聲明</p><p> address_a: IN STD_LOGIC_VECTOR (5 DOWNTO 0);</p><p> q_a: OUT STD_LOGIC_VECTOR (7 DOWNTO 0)</p><p><b> );</b></p
52、><p> END COMPONENT;</p><p><b> BEGIN</b></p><p> q <= sub_wire0(7 DOWNTO 0);</p><p> altsyncram_component : altsyncram</p><p> GENERIC
53、 MAP (</p><p> address_aclr_a => "NONE",</p><p> init_file => "sin_data.mif",</p><p> intended_device_family => "Cyclone",--參數傳遞映射</p
54、><p> lpm_hint => "ENABLE_RUNTIME_MOD=NO",</p><p> lpm_type => "altsyncram",</p><p> numwords_a => 64, --數據數量64</p><p>
55、 operation_mode => "ROM", --LPM模式ROM</p><p> outdata_aclr_a => "NONE", --無異步地址清零</p><p> outdata_reg_a => "UNREGISTERED", --輸出
56、無鎖存</p><p> widthad_a => 6, --地址線寬度6</p><p> width_a => 8, --數據線寬度8</p><p> width_byteena_a => 1</p><p><b>
57、; )</b></p><p> PORT MAP (</p><p> clock0 => inclock,</p><p> address_a => address,</p><p> q_a => sub_wire0</p><p><b> );</b
58、></p><p><b> END SYN;</b></p><p> 6位地址信號發(fā)生器cnt.vhd如下:</p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_l
59、ogic_unsigned.all;</p><p> entity cnt is --定義計數器的實體</p><p> port(clk: in std_logic;</p><p> clr: in std_logic;</p><p> q: out std_logic_vector(
60、5 downto 0));--6位輸出地址線</p><p><b> end cnt;</b></p><p> architecture bhv of cnt is </p><p><b> begin </b></p><p> process(clk,clr)</p&g
61、t;<p> variable cqi:std_logic_vector(5 downto 0);--定義內部變量</p><p><b> begin</b></p><p> if clr='0' then cqi:=(others =>'0');--計數器異步復位</p><p&
62、gt; elsif clk 'event and clk='1' then –檢測時鐘上升沿</p><p> cqi:=cqi+1; --計數</p><p><b> end if;</b></p><p> q <=cqi;--賦值,輸出</p>&l
63、t;p> end process ;</p><p><b> end bhv;</b></p><p><b> END SYN;</b></p><p><b> 6、方波模塊</b></p><p> 方波模塊的square的VHDL程序描述如下:其中cl
64、k為輸入時鐘端口,clr為輸入復位端口,q為整數輸出端口。</p><p> 圖6 方波模塊仿真圖</p><p> 方波模塊的設計是當內部計數cnt達到64時,根據輸出標志a的數值輸出對應的數值,當a=0輸出0,也即是方波周期中的低電平,當a=1,輸出255,也即是方波周期中的高電平。連續(xù)的輸出便成了觀測到的方波波形。模塊程序如下:</p><p> LIB
65、RARY IEEE; </p><p> USE IEEE.STD_LOGIC_1164.ALL; </p><p> ENTITY square IS</p><p> PORT(clk,clr:IN STD_LOGIC; </p><p> q:OUT INTEGER RANGE 0 TO 255); </p>&l
66、t;p> END square; </p><p> ARCHITECTURE behave OF square IS</p><p> SIGNAL a:BIT;</p><p><b> BEGIN</b></p><p> PROCESS(clk,clr)</p><p>
67、 VARIABLE cnt:INTEGER; --定義內部整數變量</p><p><b> BEGIN</b></p><p> IF clr='0' THEN</p><p><b> a<='0'; </b></p><p> ELSIF cl
68、k'EVENT AND clk='1' THEN--檢測時鐘上升沿</p><p> IF cnt<63 THEN--計數64個點</p><p> cnt:=cnt+1;--計數</p><p><b> ELSE</b></p><p> cnt:=0;
69、--當計數的值大于64時,清零。</p><p> a<=NOT a; --對內部a變量取反,a變化已啟動進程END PROCESS; </p><p><b> END IF; </b></p><p><b> END IF; </b></p><p> END PROCES
70、S; </p><p> PROCESS(clk,a)</p><p><b> BEGIN</b></p><p> IF clk'EVENT AND clk='1' THEN</p><p> IF a='1' THEN</p><p> q&
71、lt;=255; --a=1,--輸出一個波形周期內的高電平</p><p><b> ELSE</b></p><p> q<=0; --a=0,--輸出一個波形周期的低電平。</p><p><b> END IF; </b></p><p><b> END
72、IF; </b></p><p> END PROCESS; </p><p> END behave;</p><p> 7、輸出波形選擇模塊</p><p> 波形選擇模塊是一個設計位6選1的數據選擇器,其中sel為波形數據選擇端口,d0~d5為8位二進制輸入端口,q為8位二進制輸出端口。該模塊可以根據外部開關的狀態(tài)選
73、擇相應的波形輸出。</p><p> 其選擇VHDL程序如下:</p><p> LIBRARY IEEE; </p><p> USE IEEE.STD_LOGIC_1164.ALL; </p><p> ENTITY ch61a IS</p><p> PORT(sel:IN STD_LOGIC_VECT
74、OR(2 DOWNTO 0);</p><p> d0,d1,d2,d3,d4,d5:IN STD_LOGIC_VECTOR(7 DOWNTO 0); </p><p> q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); </p><p> END ch61a; </p><p> ARCHITECTURE b
75、ehave OF ch61a IS</p><p><b> BEGIN</b></p><p> PROCESS(sel)</p><p><b> BEGIN</b></p><p> CASE sel IS</p><p> WHEN"000&quo
76、t;=>q<=d0;--遞增波形輸出</p><p> WHEN"001"=>q<=d1;--遞減波形輸出</p><p> WHEN"010"=>q<=d2;--三角波形輸出</p><p> WHEN"011"=>q<=d3;--階梯
77、波形輸出</p><p> WHEN"100"=>q<=d4;--正弦波形輸出</p><p> WHEN"101"=>q<=d5;--方波輸出</p><p> WHEN OTHERS=>NULL; </p><p> END CASE; </p&g
78、t;<p> END PROCESS;</p><p> END behave;</p><p> WHEN OTHERS=>NULL; </p><p> 六、系統(tǒng)聯調測試分析</p><p> 通過以上各個模塊的細化和分析,最終在Quartus ‖中完成了整個系統(tǒng)的聯合調試,并通過嵌入式邏輯分析的方法回讀輸出
79、信號的波形符合設計的要求。調試整個系統(tǒng)了原理圖如下圖所示:</p><p> 1.調試的結果如下:(復位信號reset高電平,低電平為不輸出)</p><p> (1)第一次sel選擇值設為0,輸出為遞增波,從圖中可以看出,輸出的波形成線性遞增,結果正確。</p><p> (2)第二次sel選擇值設為1,輸出為遞減波,從圖中可以看出,輸出的波形成線性遞減,結
80、果正確。</p><p> ?。?)第三次sel的值設為2,輸出為三角波,其仿真波形如下圖所示,輸出波形線性增大到最大后,再線性減小。</p><p> ?。?)第四次sel的值設為3,其輸出的波形是階梯波,其仿真波形見下圖,波形遞增常數為16,結果正確。</p><p> (5)第五次sel的值設為4,其輸出的波形是正弦波,從圖中可以看出,輸出的數據的變化規(guī)律是
81、正弦規(guī)律。</p><p> ?。?)第六次sel的值設為5,其輸出的波形是方波,從圖中仿真的結果可以看出,輸出的波形變化規(guī)律是按方波規(guī)律周期性變化的。</p><p> ?。?)當設置為其他值時無波形輸出</p><p><b> 七、設計結論</b></p><p> 本設計以函數信號發(fā)生器的功能為設計對象,運用
82、EDA技術的設計方法,進行各種波形的輸入設計、設計處理和器件編程。在VHDL語言的編寫中按照語言描述規(guī)范,實現了幾種波形的軟件設計和具體邏輯元件結構的硬件映射。結合FPGA的開發(fā)集成環(huán)境Quartus2軟件,產生了函數信號發(fā)生器的各種信號,同時完成了時序和功能仿真。實驗表明采用該方法能準確的產生三角波、階梯波、正弦波等設計產生的波形,實現了信號發(fā)生器的功能。</p><p> 本設計的函數信號發(fā)生器在設計上由于
83、設計時考慮的不夠全面雖然完成了函數信號的產生,但不夠完善。要做成完整實用的信號源還應考慮設計包含的功能有:</p><p> ?。?)用鍵盤輸入編輯生成上述6種波形(同周期)的線性組合波形;</p><p> (2)具有波形存儲功能;</p><p> ?。?)輸出波形的頻率范圍可調,頻率步進;</p><p> (4)輸出波形幅度可調,
84、步進調整;</p><p> ?。?)具有顯示輸出波形的類型、重復頻率(周期)和幅度的功能;</p><p> (6)用鍵盤或其他輸入裝置產生任意波形;</p><p> ?。?)波形占空比可調等。</p><p><b> 八、心得體會</b></p><p> 一個學期的EDA學習,使我
85、獲益良多。在這期間學習了EDA的基本知識、常用的EDA的工具Quartus2的使用方法、對大規(guī)??删幊唐骷慕Y構和工作原理也有了一定的了解;掌握了原理圖和VHDL輸入的基本設計方法;對VHDL語言的語法結構、編程結構也都有了一定的掌握;結合實驗課學會了編程下載和硬件測試等內容;對Quartus2軟件的嵌入式邏輯分析儀的使用和宏功能模塊的調用也掌握了一些基本的操作;配合著實驗課初步學會了自頂向下的設計方法,明白了如何用這種方法去實現一個系
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
- 6. 下載文件中如有侵權或不適當內容,請與我們聯系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
評論
0/150
提交評論