2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩20頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、<p><b>  電子課程設(shè)計(jì)</b></p><p>  ——樂曲硬件演奏電路設(shè)計(jì)</p><p>  學(xué)院 太原科技大學(xué)華科學(xué)院</p><p>  專業(yè)、班級 電子信息工程</p><p><b>  姓名 </b></p><p><b>  學(xué)

2、號 </b></p><p><b>  指導(dǎo)教師 </b></p><p><b>  2011年12月</b></p><p><b>  目錄</b></p><p>  一、設(shè)計(jì)任務(wù)與要求 …………………………… 3</p>&

3、lt;p>  二、總框體圖    …………………………… 3</p><p>  三、選擇器件     …………………………… 4</p><p>  四、功能模塊    …………………………… 4</p><p>  1、音樂數(shù)據(jù)模塊 …………………………… 4</p

4、><p>  2、音符控制輸出模塊   …………………………… 7</p><p>  3、音符譯碼模塊  …………………………… 8</p><p>  4、數(shù)控分頻器模塊 ……………………………11</p><p>  5、分頻

5、器模塊 ……………………………12</p><p>  6、譯碼模塊 ………………………… 13</p><p>  五、總體設(shè)計(jì)電路圖  ……………………………15</p><p>  1、總體電路原理圖 ………

6、……………………15</p><p>  2、管腳分配圖 ……………………………15 </p><p>  3、電路仿真結(jié)果 ……………………………15</p><p>  4、硬件驗(yàn)證 ……………………………16&

7、lt;/p><p>  六、設(shè)計(jì)心得   ……………………………17</p><p>  七、附錄    ……………………………19</p><p>  樂曲硬件演奏電路設(shè)計(jì)</p><p><b>  設(shè)計(jì)任務(wù)與要求:</b></p><p><b&g

8、t;  1、實(shí)驗(yàn)內(nèi)容</b></p><p>  利用可編程邏輯器件FPGA,設(shè)計(jì)樂曲硬件演奏電路,可自動演奏樂曲。</p><p>  2、實(shí)驗(yàn)要求(1)利用數(shù)控分頻器設(shè)計(jì)硬件樂曲演奏電路。(2)利用給定的音符數(shù)據(jù)定制ROM“music”。 (3)設(shè)計(jì)乘法器邏輯框圖,并在QuartusII上完成全部設(shè)計(jì)。(4)將音樂通過實(shí)驗(yàn)箱上的喇叭播放出來。(5)與演奏發(fā)音相對應(yīng)

9、的簡譜碼輸出在數(shù)碼管上顯示。</p><p><b>  總體框圖</b></p><p><b>  設(shè)計(jì)思路:</b></p><p><b>  C調(diào)音階頻率表:</b></p><p>  在《EDA技術(shù)實(shí)用教程》課程中,已經(jīng)學(xué)習(xí)過數(shù)控分頻器設(shè)計(jì),即輸入不同的預(yù)置數(shù)即可

10、發(fā)出不同頻率的聲音。由此,可以以此為設(shè)計(jì)基礎(chǔ)。設(shè)計(jì)一功能模塊,能夠?qū)非械囊舴鹨灰詫?yīng)的頻率以預(yù)置數(shù)的形式置入數(shù)控分頻計(jì)中,即可利用該數(shù)控分頻計(jì)產(chǎn)生不同的聲音,演奏出設(shè)定好的音樂。</p><p>  ROM中的音樂數(shù)據(jù)文件剛可由編輯好的音符填入MIF文件中再定制LPM_ROM將音符數(shù)據(jù)加載入ROM中,并設(shè)計(jì)程序在運(yùn)行時自動讀取ROM中的文件并置入數(shù)控分頻器中。</p><p>  當(dāng)

11、采用四四拍曲子時,每節(jié)拍持續(xù)時間為0.5秒。置入數(shù)控分頻器的速度也應(yīng)與此同步或一致,避免音樂過快或過快慢而失真。</p><p>  由已知的C調(diào)音階頻率表,各頻率對應(yīng)的預(yù)置數(shù)就與數(shù)控分頻推動蜂鳴器發(fā)出聲音的頻率對應(yīng)。在編寫數(shù)控分頻器時,不僅要考慮預(yù)置數(shù)的輸入方式,還要考慮輸入的速度,以及驅(qū)動蜂鳴器發(fā)聲的頻率。</p><p>  為了顯示高音音調(diào),可在音符預(yù)置數(shù)的譯碼過程中,同時譯出高音

12、音階的信號,并利用LED燈輸出信號。同時,也可利用該譯碼模塊,將當(dāng)前演奏的音符簡譜表達(dá)出來。</p><p><b>  總體框圖</b></p><p><b>  選擇器件</b></p><p>  1.EP1C12Q240C8芯片及相應(yīng)的連接設(shè)備</p><p><b>  2.外

13、置揚(yáng)聲器</b></p><p><b>  3.7段數(shù)碼管</b></p><p><b>  4.LED燈一個</b></p><p><b>  5.計(jì)算機(jī)</b></p><p><b>  功能模塊</b></p>&l

14、t;p>  1、音樂數(shù)據(jù)ROM模塊:</p><p>  該模塊為音樂曲譜的存放文件。其利用LPM_ROM宏模塊將共設(shè)定512個音符,每個音符寬度為5位,可存放高、中、低三階21個音符的數(shù)據(jù)。文件名為music.mif.</p><p>  其中[0..127]存放第一首歌《揮著翅膀的女孩》,</p><p>  [127..255]存放第二首歌《菊花臺》,&

15、lt;/p><p>  [256..383]存放第三首歌《兩只老虎》,</p><p>  [384..512]存放第四首歌《世上只有媽媽好》,</p><p>  在該數(shù)據(jù)中,每個字符持續(xù)時間為0.25秒(由音符控制輸出模塊的時鐘源4HZ信號確定),故在根據(jù)樂譜中音符的實(shí)際持續(xù)時間進(jìn)行編寫。如四四拍的則每拍時間為0.5秒,則此處對應(yīng)數(shù)據(jù)應(yīng)該持續(xù)兩個字符。</p&

16、gt;<p>  MIF文件內(nèi)容如下:</p><p>  WIDTH=5; DEPTH=512;</p><p>  音樂數(shù)據(jù)ROM模塊不生成模塊,通過定制LPM_ROM,以備下一個模塊的使用。</p><p>  2、音符控制輸出模塊NOTETABS:</p><p>  該模塊利用已定制完成的music.mif文件,通過

17、給出LPM_ROM的數(shù)據(jù)地址,使LPM_ROM輸出對應(yīng)的音符,再送入音符譯碼電路,最終演奏出編好的音樂。</p><p>  VHDL語句描述如下:</p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_unsig

18、ned.all;</p><p>  entity notetabs is </p><p>  port(clk1:in std_logic;</p><p>  toneindex:out std_logic_vector(4 downto 0));</p><p><b>  end;</b></p>

19、<p>  architecture one of notetabs is</p><p>  component music</p><p>  port(address:in std_logic_vector(8 downto 0);</p><p>  clock:in std_logic;</p><p>  q:out

20、std_logic_vector(4 downto 0));</p><p>  end component;</p><p>  signal counter:std_logic_vector(8 downto 0);</p><p><b>  begin</b></p><p>  cnt8:process(clk

21、1,counter)</p><p><b>  begin</b></p><p>  if counter=512then counter<="000000000";</p><p>  elsif(clk1'event and clk1='1')then </p><p

22、>  counter<=counter+1;</p><p><b>  end if;</b></p><p>  end process;</p><p><b>  u1:music</b></p><p>  port map(address=>counter,q=>

23、toneindex,clock=>clk1);</p><p><b>  end;</b></p><p><b>  其生成項(xiàng)目符號為:</b></p><p>  該模塊時序仿真圖如下:</p><p>  時序仿真說明:根據(jù)時序圖可看出,當(dāng)輸入clock1時,toneindex也相應(yīng)的

24、改變,即完成在同一ROM內(nèi)存放多首歌曲。</p><p>  3、音符譯碼電路TONETABS模塊:</p><p>  該模塊將NOTETABS模塊輸出的音符譯成輸出電路的數(shù)控分頻所需要預(yù)置數(shù),并將對應(yīng)的簡譜數(shù)字用數(shù)碼管顯示出來,同時根據(jù)輸出的音符,判斷其高、中、低特性,并通過一個LED燈將高音顯示出來。其所輸出的預(yù)置數(shù)由最后一級的數(shù)控頻器所決定,參考值如下表:</p>&

25、lt;p>  其計(jì)算公式如下:由于所設(shè)計(jì)的數(shù)控分頻計(jì)采用12MHZ作為時鐘源,并通過一次12分頻給出頻率為1MHZ的脈沖溢出信號,再對該1MHZ的溢出信號進(jìn)行12位2進(jìn)制碼的帶預(yù)置數(shù)進(jìn)行計(jì)數(shù),并給出一個頻率隨預(yù)置數(shù)變化的脈沖信號。由于該脈沖信號不具有驅(qū)動蜂鳴器的能力,故對此脈沖信號進(jìn)行2分頻以推動蜂鳴器發(fā)聲,故最終輸出信號的頻率與預(yù)置數(shù)的關(guān)系如下:</p><p>  其中為音階對應(yīng)的頻率。</p&g

26、t;<p>  模塊VHDL語句描述如下:</p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  entity ToneTaba is</p><p>  port( Index : in std_logic_vector(4 downt

27、o 0);</p><p>  CODE : out std_logic_vector(3 downto 0);</p><p>  HIGH : out std_logic;</p><p>  Tone : out std_logic_vector(11 downto 0));</p><p><b>  end;</b&

28、gt;</p><p>  architecture one of ToneTaba is </p><p><b>  begin</b></p><p>  Search: process(Index)</p><p><b>  begin</b></p><p>  

29、case Index is </p><p>  When "00000"=>tone<="111111111111";code<="0000";high<='0';--4095</p><p>  When "00001"=>tone<="0110

30、00001000";code<="0001";high<='0';--1544</p><p>  When "00010"=>tone<="011100011110";code<="0010";high<='0';--1822</p><

31、;p>  When "00011"=>tone<="100000010110";code<="0011";high<='0';--2070</p><p>  When "00100"=>tone<="100010001000";code<="

32、;0100";high<='0';--2184</p><p>  When "00101"=>tone<="100101011001";code<="0101";high<='0';--2393</p><p>  When "00110"

33、;=>tone<="101000010010";code<="0110";high<='0';--2578</p><p>  When "00111"=>tone<="101001100111";code<="0111";high<='0&#

34、39;;--2663</p><p>  When "01000"=>tone<="101100000100";code<="0001";high<='1';--2820</p><p>  When "01001"=>tone<="10111000

35、1111";code<="0010";high<='1';--2959</p><p>  When "01010"=>tone<="110000001001";code<="0011";high<='1';--3081</p><p&g

36、t;  When "01011"=>tone<="110001000100";code<="0100";high<='1';--3140</p><p>  When "01100"=>tone<="110010101100";code<="010

37、1";high<='1';--3244</p><p>  When "01101"=>tone<="110100001001";code<="0110";high<='1';--3337</p><p>  When "01110"=&g

38、t;tone<="110100110011";code<="0001";high<='1';--3379</p><p>  When "01111"=>tone<="110110000001";code<="0010";high<='1';

39、--3457</p><p>  When "10000"=>tone<="110111000111";code<="0010";high<='1';--3527</p><p>  When "10001"=>tone<="111000000101

40、";code<="0010";high<='1';--3589</p><p>  When "10010"=>tone<="111000100001";code<="0010";high<='1';--3617</p><p>  

41、When "10011"=>tone<="111001010101";code<="0010";high<='1';--3669</p><p>  When "10100"=>tone<="111010000100";code<="0010&qu

42、ot;;high<='1';--3716</p><p>  When "10101"=>tone<="111010011001";code<="0010";high<='1';--3737</p><p>  When "10110"=>to

43、ne<="111011000000";code<="0010";high<='1';--3776</p><p>  When "10111"=>tone<="111011100011";code<="0010";high<='1';--38

44、11</p><p>  When "11000"=>tone<="111100000010";code<="0010";high<='1';--3842</p><p>  When "11001"=>tone<="111100010000&quo

45、t;;code<="0010";high<='1';--3856</p><p>  When "11010"=>tone<="111100101010";code<="0010";high<='1';--3882</p><p>  When

46、 "11011"=>tone<="111101000001";code<="0010";high<='1';--3905</p><p>  When "11100"=>tone<="111101001100";code<="0010";

47、high<='1';--3916</p><p>  When others => null;</p><p>  end case;</p><p>  end process;</p><p><b>  end;</b></p><p><b>

48、  其生成項(xiàng)目符號為:</b></p><p>  該模塊時序仿真圖如下:</p><p>  時序仿真說明:將NOTETABS模塊輸出的音符譯成輸出電路的數(shù)控分頻所需要預(yù)置數(shù),并將高音輸出。</p><p>  4、數(shù)控分頻器計(jì)輸出模塊SPEAKERA:</p><p>  該模塊主體為一個12位的可預(yù)置數(shù)計(jì)數(shù)器。其通過NOTE

49、TABS得到預(yù)置數(shù),并對些進(jìn)行計(jì)數(shù)。當(dāng)計(jì)滿時便給出一個溢出信號。再對此溢出信號進(jìn)行二分頻得到能驅(qū)動蜂鳴器且頻率符合C大調(diào)的21個音階的頻率。由此發(fā)出不同信號。</p><p>  其VHDL語言描述如下:</p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p&g

50、t;  use ieee.std_logic_unsigned.all;</p><p>  entity speakera is</p><p>  port(clk:in std_logic;</p><p>  tone:in std_logic_vector(11 downto 0);</p><p>  spks:out std_l

51、ogic);</p><p><b>  end;</b></p><p>  architecture one of speakera is</p><p>  signal preclk,fullspks:std_logic;</p><p><b>  begin</b></p>

52、<p>  divideclk:process(clk)--對12MHZ時鐘源進(jìn)行12分頻,輸出1MHZ的脈沖信號。</p><p>  variable count4:std_logic_vector(3 downto 0);</p><p><b>  begin</b></p><p>  preclk<='0&

53、#39;;</p><p>  if count4>11 then preclk<='1';count4:="0000";</p><p>  elsif clk'event and clk='1' then count4:=count4+1;</p><p><b>  end if

54、;</b></p><p>  end process;</p><p>  genspks:process(preclk,tone)--12位預(yù)置數(shù)數(shù)控分頻器</p><p>  variable count11:std_logic_vector(11 downto 0);</p><p><b>  begin<

55、;/b></p><p>  if preclk'event and preclk='1' then </p><p>  if count11="111111111111"then count11:=tone; fullspks<='1';</p><p>  else count11:=co

56、unt11+1;fullspks<='0';end if;</p><p><b>  end if;</b></p><p>  end process;</p><p>  delayspks:process(fullspks)--2分頻,蜂鳴器推動電路。</p><p>  variable

57、count2 :std_logic;</p><p><b>  begin</b></p><p>  if fullspks'event and fullspks ='1' then count2:=not count2;</p><p>  if count2='1' then spks<=&

58、#39;1';</p><p>  else spks<='0';end if;</p><p><b>  end if;</b></p><p>  end process;</p><p><b>  end;</b></p><p>&l

59、t;b>  其生成項(xiàng)目符號為:</b></p><p>  該模塊時序仿真圖如下:</p><p>  時序仿真說明:根據(jù)預(yù)置數(shù)的不同,其輸出的頻率也有規(guī)律性的變化。</p><p>  5、分頻器模塊div_clk:</p><p>  該模塊主要是把從實(shí)驗(yàn)箱接入的50MHZ的頻率進(jìn)行分頻,得到12MHZ的脈沖,以備后面的

60、模塊使用</p><p>  模塊VHDL語句描述如下:</p><p>  LIBRARY ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_unsigned.all;</p><p>  ENTITY div_clk

61、IS</p><p>  PORT(clk_in : INstd_logic;</p><p>  div_out: OUT std_logic);</p><p>  END div_clk;</p><p>  ARCHITECTURE a OF div_clk IS</p><p>  SIGNAL fre

62、_N : integer range 0 to 2;</p><p>  SIGNAL clk_tmp: std_logic;</p><p><b>  BEGIN</b></p><p>  div_out <= clk_tmp;</p><p>  process(clk_in)</p><

63、;p><b>  begin</b></p><p>  if falling_edge(clk_in) then</p><p>  if fre_N >= 1 then</p><p>  fre_N <= 0;</p><p>  clk_tmp <= not clk_tmp;</p&

64、gt;<p><b>  else</b></p><p>  fre_N <= fre_N + 1;</p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process;<

65、;/p><p><b>  END a;</b></p><p><b>  其生成項(xiàng)目符號為:</b></p><p>  該模塊時序仿真圖如下:</p><p>  時序仿真說明:將50MHZ分成12MHZ的脈沖</p><p>  6、譯碼模塊deled</p>

66、<p>  該模塊將歌曲對應(yīng)的簡譜通過七段數(shù)碼管顯示。</p><p>  模塊VHDL語句描述如下:</p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_unsigned.all;</p&g

67、t;<p>  use ieee.std_logic_arith.all;</p><p>  entity deled is </p><p>  port(num:in std_logic_vector(3 downto 0);</p><p>  led:out std_logic_vector(6 downto 0));</p>

68、<p>  end deled;</p><p>  architecture fun of deled is </p><p>  --signal count:std_logic_vector(2 downto 0);</p><p><b>  begin</b></p><p>  led<=&q

69、uot;1111110" when num="0000" else</p><p>  "0110000" when num="0001" else</p><p>  "1101101" when num="0010" else</p><p>  &qu

70、ot;1111001" when num="0011" else</p><p>  "0110011" when num="0100" else</p><p>  "1011011" when num="0101" else</p><p>  &quo

71、t;1011111" when num="0110" else</p><p>  "1110000" when num="0111" else</p><p>  "1111111" when num="1000" else</p><p>  "

72、;1111011" when num="1001" else</p><p>  "1110111" when num="1010" else</p><p>  "0011111" when num="1011" else</p><p>  "

73、1001110" when num="1100" else</p><p>  "0111101" when num="1101" else</p><p>  "1001111" when num="1110" else</p><p>  "1

74、000111" when num="1111" ;</p><p><b>  end fun;</b></p><p><b>  其生成項(xiàng)目符號為:</b></p><p>  該模塊時序仿真圖如下:</p><p>  時序仿真說明:對應(yīng)的簡譜變化時,數(shù)碼管顯示相

75、應(yīng)的發(fā)生變化,顯示相應(yīng)的簡譜。</p><p><b>  總體設(shè)計(jì)電路圖:</b></p><p><b>  1、總體電路原理圖</b></p><p><b>  2、管腳分配圖</b></p><p><b>  3、電路仿真結(jié)果</b></

76、p><p>  時序仿真說明:當(dāng)輸入clk信號后,程序運(yùn)行,數(shù)碼管顯示相應(yīng)的簡譜,揚(yáng)聲器播放歌曲,當(dāng)輸出為高音時,LED燈亮。</p><p><b>  4、硬件驗(yàn)證</b></p><p>  【1】IO3接in_clock</p><p>  【2】IO5接揚(yáng)聲器</p><p>  【3】IO

77、9接LED燈</p><p>  下載完成后,揚(yáng)聲器即發(fā)出聲音,演奏出樂曲,同時數(shù)碼管顯示相應(yīng)的簡譜,當(dāng)輸出為高音是,IO9連接的LED燈亮。</p><p><b>  六、設(shè)計(jì)心得</b></p><p>  通過兩周的努力,終于完成了此次課程設(shè)計(jì)。此次課程設(shè)計(jì)主要參考了教材中基于FPGA的硬件演奏電路的設(shè)計(jì),并根據(jù)課題要求,對其進(jìn)行加工與

78、改進(jìn),并最達(dá)到課題的要求,使其在QUARTUSП上選用目標(biāo)芯片仿真實(shí)現(xiàn)了音樂硬件演奏電路的功能。實(shí)踐證明:采用FPGA設(shè)計(jì)實(shí)現(xiàn)音樂硬件演奏電路的可行性和可靠性,而且更改樂曲容易,可根據(jù)需要修改ROM中的音符數(shù)據(jù)文件,從而使電路實(shí)現(xiàn)任一曲子的播放。</p><p>  這種基于FPGA的音樂硬件演奏電路的設(shè)計(jì)與實(shí)現(xiàn),不僅通過VHDL層次化和模塊化設(shè)計(jì)方法,同時采用數(shù)控分頻和定制LPM-ROM的設(shè)計(jì)思想,更好的優(yōu)化了

79、樂曲演奏數(shù)字電路的設(shè)計(jì),在此基礎(chǔ)上不必變化頂層文件架構(gòu)可隨意變更樂曲,有效縮短了產(chǎn)品開發(fā)周期、減少了設(shè)計(jì)芯片的數(shù)量、降低了功耗、提高了設(shè)計(jì)的靈活性、可靠性和可擴(kuò)展性。</p><p>  在課程設(shè)計(jì)開始之前,我就廣泛查找關(guān)于此類的文檔或者其他相關(guān)的資料,一開始的時候當(dāng)查找到這些相關(guān)的資料之后就以為萬事大吉了,只有將相關(guān)的程序復(fù)制下,再以前做實(shí)驗(yàn)?zāi)菢?,保存、建立?xiàng)目工程、運(yùn)行程序、建立頂層模塊等等類型的就行了,覺得

80、很簡單。</p><p>  可是當(dāng)真的操作起來就發(fā)現(xiàn)遇到了許多的困難,首先填寫MUSIC文件表格里的512個數(shù)字就很累,不過這只是體力活,不是很傷腦筋,可是那個剛弄完了之后我卻又遇到了問題,不得不求助老師。在做課程設(shè)計(jì)的時候發(fā)現(xiàn)很多看似很簡單的事情,只要錯了一點(diǎn)點(diǎn)這個程序也無法運(yùn)行,但當(dāng)做出來了成果,看到了頂層模塊的仿真圖形之后我一種無法形容的喜悅,增強(qiáng)了自己做好它的自信心。</p><p&

81、gt;  通過這次實(shí)驗(yàn),我也學(xué)習(xí)到了如何利用可編程邏輯設(shè)計(jì)器件進(jìn)行設(shè)計(jì),并學(xué)習(xí)了宏模塊的設(shè)計(jì)與應(yīng)用的基礎(chǔ)。并能夠在LPM_ROM中寫入自己需要的數(shù)據(jù)。得用該ROM模塊,還可進(jìn)行函數(shù)信號發(fā)生器等電路功能的實(shí)現(xiàn)。</p><p>  在計(jì)算C大調(diào)頻率對應(yīng)的預(yù)置數(shù)過程,應(yīng)用了單片機(jī)定時器的相關(guān)知識進(jìn)行理解并加以計(jì)算,并得出了可直接計(jì)算的功能。但這些計(jì)算也需要對應(yīng)正確的電路,才可最終例蜂鳴器發(fā)出正確的頻率。在設(shè)計(jì)選擇歌曲

82、的功能時,考慮到需要面一塊ROM中寫入多首歌的數(shù)據(jù),則在選擇時,即應(yīng)給出每首歌正確的地址。由此想到了在微機(jī)芯片中,RAM的數(shù)據(jù)采用段基址加變址的實(shí)現(xiàn)方法。由此設(shè)計(jì)出在同一ROM中,同時存放多首歌。</p><p>  在分頻計(jì)的設(shè)計(jì)過程中,由于經(jīng)驗(yàn)不足,未意識到,分頻計(jì)在溢出后輸出的僅為一單脈沖波,該脈沖波不足以驅(qū)動蜂鳴器,故需要再加一分頻電路,拓寬信號的脈沖,使其擁有驅(qū)動蜂鳴器的能力</p>&l

83、t;p>  在向ROM中填寫音樂文件數(shù)據(jù)時,應(yīng)當(dāng)首選了解樂譜中每個音符的持續(xù)時間。再根據(jù)程序中設(shè)定好的節(jié)拍速度,適當(dāng)修改樂譜,使音樂的演奏能夠連貫,并不失真。</p><p>  這次的課程設(shè)計(jì)不單是做出一個硬件演奏樂曲電路出來,更重要的是鍛煉自己學(xué)習(xí)的能力?;仡櫞舜握n程設(shè)計(jì),從書籍,網(wǎng)絡(luò)不斷的尋找到設(shè)計(jì)電路,從拿到題目到完成整個設(shè)計(jì),從理論到實(shí)踐,可以學(xué)到很多很多的的東西。對課本知識的進(jìn)一步加深的同時學(xué)到

84、了很多在書本上沒有學(xué)到過的知識。能夠自己學(xué)習(xí)QUARTUSⅡ的新功能,或結(jié)合其他電路仿真設(shè)計(jì)電路一同設(shè)計(jì)。。同時,可以利用網(wǎng)上的資源,查找相關(guān)資料,以幫助自己完成電路的設(shè)計(jì)。</p><p>  通過這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識是遠(yuǎn)遠(yuǎn)不夠的。把所學(xué)的理論知識與實(shí)踐相結(jié)合起來,從理論中得出結(jié)論,才能真正提高自己的實(shí)際動手能力和獨(dú)立思考的能力。在設(shè)計(jì)的過程難免會遇到過各種各樣的問題,

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論