2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩11頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、<p><b>  EDA課程設(shè)計</b></p><p>  -----16X16字符發(fā)生器</p><p><b>  一.設(shè)計任務及要求</b></p><p>  1.設(shè)計任務:利用實驗箱上16X16點陣,設(shè)計字符發(fā)生器,可以循環(huán)顯 <

2、/p><p>  示預置字符:同心協(xié)力。 </p><p>  2.設(shè)計要求:(1)利用VHDL編寫字符掃描驅(qū)動電路;</p><p>  (2)設(shè)計一個可以自動循環(huán)顯示4個字符的電路;</p><p>  (3)編寫預置字符的rom程序生成模塊接入電路。 </p><p><b>  二.總體框圖</b&

3、gt;</p><p><b>  設(shè)計思路:</b></p><p>  此電路由八進制計數(shù)器,ROM和ADDRESS1這三部分構(gòu)成。</p><p>  ADDRESS1的作用是在時鐘clk的作用下將從rom中讀出的信號對應正確的寫在16×16的點陣上。Reset是復位端,起復位作用。輸出addr[4..1]選中16X16LED點

4、陣的對應列,隨著addr[4..1]值得增加,從左往右依次選中點陣的各列addr0則決定輸出是在高位還是在低位。FLEX10的lout0-7和hout0-7分別與LED點陣的低八位L0-7和高八位L8-15相連。</p><p>  八進制計數(shù)器是在addr[7..0]輸出0-3的循環(huán)計數(shù),由此輸出與ADDRESS1的輸出addr[4..0]共同作為rom的輸入地址,以此決定q[7..0]的輸出(即ADDRESS

5、1的DIN[7..0]的輸入),此八進制計數(shù)器是由74LS160十進制計數(shù)器修改得來的,輸出由原來十進制的0-9循環(huán)輸出變?yōu)榘诉M制的0-3循環(huán)輸出。</p><p>  Rom是一個用來存儲數(shù)據(jù)的具有讀寫功能的的存儲器,在此電路中的作用是存儲“同心協(xié)力”四個字.在addr[7..0]輸入相應的地址時讀取rom中的相應的數(shù)據(jù),然后在輸出端q[7..0]輸出。</p><p>  在時鐘脈沖的

6、作用下,地址計數(shù)器計數(shù),EPROM相對應的地址單元中的代碼輸出,以驅(qū)動列選通線產(chǎn)生電路。地址計數(shù)器同時又為行選通線產(chǎn)生電路。地址隨著地址計數(shù)器計數(shù)值的變化,發(fā)光二極管顯示屏逐行掃描,顯示屏上顯示出字符或圖案。</p><p><b>  各模塊功能:</b></p><p>  1) ROM是只讀存儲器存放字符的代碼, 他是字符顯示器的核心部件。</p>

7、<p>  2)發(fā)光二極管顯示屏用來顯示字符或圖案,他是由若干發(fā)光二極管組成的點陣式顯示屏。</p><p>  3) ADDRESS1的作用是在時鐘clk的作用下將從ROM256*8中讀出的信號對應正確的寫在16×16的點陣上。</p><p><b>  選擇器件與功能模塊</b></p><p>  用來構(gòu)成輸出八進

8、制的74LS160十進制計數(shù)器</p><p>  .74LS160器件圖</p><p>  B.C.D 為輸入端; LDN為置數(shù)端;ENT與ENP為使能控制端;CLRN為置零端; </p><p>  RCO為進位輸出端; QA.QB.QC.QD為輸出端;CLK為脈沖信號輸入端.</p><p>  (2). 74160內(nèi)部結(jié)構(gòu)圖<

9、/p><p>  (3).74160功能表</p><p>  2.ADDRESS1模塊設(shè)計文件ADDRESS1.VHD</p><p>  說明: CLK為時鐘輸入端口;</p><p>  RESET為置零端;</p><p>  DIN[7..0]為接受ROM數(shù)據(jù)信號端口;</p><p> 

10、 AD[4..0]為片選地址輸出;</p><p>  HOUT[7..0],LOUT[7..0]分別為高八位斷驅(qū)動和低八位短驅(qū)動.</p><p>  Address1設(shè)計程序:</p><p>  LIBRARY IEEE;</p><p>  USE IEEE.std_logic_1164.ALL;</p><p&g

11、t;  USE IEEE.std_logic_unsigned.ALL;</p><p>  ENTITY address1 IS</p><p><b>  PORT(</b></p><p>  clk,reset:IN STD_LOGIC;</p><p>  ad :OUT STD_LOGIC_VECTOR(4

12、 downto 0);</p><p>  din:in STD_LOGIC_VECTOR(7 DOWNTO 0);</p><p>  hout,lout:out STD_LOGIC_VECTOR(7 downto 0));</p><p>  END address1;</p><p>  ARCHITECTURE a OF addres

13、s1 IS</p><p><b>  BEGIN</b></p><p>  process(clk,din,reset)—敏感信號</p><p>  VARIABLE Q1:STD_LOGIC_VECTOR(4 DOWNTO 0);--標準邏輯變量</p><p><b>  BEGIN</b>

14、;</p><p>  IF CLK'EVENT AND CLK='1'THEN</p><p>  IF RESET='0'OR(Q1="11111")THEN</p><p>  Q1:="00000";</p><p><b>  ELSE<

15、/b></p><p><b>  Q1:=Q1+1;</b></p><p><b>  END IF;</b></p><p><b>  END IF;</b></p><p>  CASE Q1(0)IS</p><p>  WHEN &#

16、39;0'=> </p><p>  LOUT<=din;</p><p>  HOUT<=(others=>'0');</p><p>  WHEN '1'=></p><p>  HOUT<=din;</p><p>  LOUT<=

17、(others=>'0');</p><p>  WHEN OTHERS=></p><p><b>  null;</b></p><p><b>  END CASE;</b></p><p><b>  ad<=Q1;</b></p

18、><p>  END PROCESS;</p><p><b>  END a;</b></p><p><b>  模塊功能:</b></p><p>  地址選擇器包含行選線產(chǎn)生電路和列選線產(chǎn)生電路,從ROM中選擇相應的地址輸出。Clk為掃描時鐘脈沖,控制掃描速度的快慢;RESET為復位端,只有在R

19、ESET為高電平的情況下,在脈沖上升沿到來時,ad計數(shù),其中ad[4..1]輸出掃描驅(qū)動信號,接入掃描片選端,依次選中LED點陣的各列,din輸入字符存儲器中每個存儲單元的數(shù)據(jù),hout[7..0]控制LED點陣的高八位lout[7..0] 控制LED點陣的低八位,din中存儲器中調(diào)用的數(shù)據(jù)模塊分別由hout[7..0]或lout[7..0]輸出,在LED點陣中經(jīng)掃描顯示字符。</p><p><b>

20、  仿真圖:</b></p><p>  3.用來存儲字符的ROM256X8存儲器</p><p>  (1).存入ROM中的數(shù)據(jù)</p><p><b>  width=8;</b></p><p>  depth=256;</p><p>  address_radix=hex;&

21、lt;/p><p>  data_radix=hex;</p><p>  content begin</p><p>  00:00;01:00;02:fe;03:ff;04:02;05:00;06:02;07:00; --tong</p><p>  08:92;09:3f;0a:92;0b:10;0c:92;0d:10;0e:92;0f:

22、10;</p><p>  10:92;11:10;12:92;13:10;14:92;15:10;16:da;17:3f;</p><p>  18:02;19:40;1a:02;1b:80;1c:ff;1d:7f;1e:02;1f:00;</p><p>  20:00;21:00;22:00;23:00;24:00;25:04;26:00;27:02; --

23、xin</p><p>  28:c0;29:01;2a:00;2b:00;2c:f0;2d:3f;2e:01;2f:40;</p><p>  30:02;31:40;32:1c;33:40;34:08;35:40;36:00;37:07; </p><p>  38:00;39:70;3a:80;3b:00;3c:80;3d:00;3e:00;3f:07;&l

24、t;/p><p>  40:10;41:00;42:10;43:00;44:ff;45:ff;46:10;47:00; --xie </p><p>  48:10;49:04;4a:80;4b:03;4c:00;4d:40;4e:10;4f:20;</p><p>  50:10;51:1c;52:ff;53:03;54:10;55:00;56:10;57:40;

25、 </p><p>  58:10;59:80;5a:f8;5b:7f;5c:90;5d:00;5e:00;5f:03;</p><p>  60:10;61:80;62:10;63:80;64:10;65:80;66:10;67:60; --li</p><p><b>  End; </b></p><p>  (

26、2)由VHDL編譯生成的ROM256X8存儲器圖</p><p>  16×16掃描LED點陣的工作原理同8位掃描數(shù)碼管類似。它有16個共陰極輸出端口,每個共陰極對應有16個LED顯示燈。所以其掃描譯碼地址需4位信號線。</p><p>  2864E2PROM存貯器是電可擦除/編程的只讀存貯器,容量為8k×8bit ,有13位并行地址線和8位并行數(shù)據(jù)線,而一個完整的字

27、符所需的存貯容間為32字節(jié)即32×4bit,也就是說2864最多可連續(xù)存256個16×16點陣字形。存貯方式可事先約定好.</p><p><b>  四.總體設(shè)計電路圖</b></p><p><b>  1).工作情況:</b></p><p>  將74LS160十進制計數(shù)器變?yōu)榱税诉M制的計數(shù)器。

28、在輸入clk1的作用下在輸出端輸出000-111的地址數(shù)據(jù)。八進制輸出的地址數(shù)據(jù)與ADDRESS1的輸出addr[4..0]共同作為了存儲器ROM的地址輸入。此輸入地址選定了存儲器中在該地址上存儲的數(shù)據(jù)。然后將數(shù)據(jù)在存儲器的輸出端q[7..0]輸出。存儲器的輸出作用下輸出的addr[4..1]決定了輸出結(jié)果在16×16的點陣上列循環(huán)掃描。而addr0則決定著輸出在16×16的點陣上的行掃描,也即輸出時在低位(lout

29、)還是在高位(hout)。</p><p>  總體上說來就是計數(shù)器提供部分地址,而ROM是一個字符的存儲庫,ADDRESS1則是決定著要輸出的字符如何在16×16的點陣上掃描輸出.</p><p>  2).模塊間的連接關(guān)系:</p><p>  計數(shù)器的輸出連接在 ROM259×8的地址輸入端,ROM259×8的輸出q[7..0]連

30、接在 ADDRESS1的din[7..0]端。 ADDRESS1的輸出addr[4..0]又回到了ROM256×8的地址輸入端。</p><p><b>  1.時序仿真結(jié)果</b></p><p><b>  仿真分析:</b></p><p>  RESET接低電平;CLK1為CLK的10倍,當CLK1第一個

31、上升沿未到來時,列選信號輸出端為"00",即點陣第一列選通,此時LED低八位L7~L4為"1110"十六進制為'E',L3~L0為"0000"十六進制為'0';LED高八位L15~L12為"0000"十六進制為'0',L11~L8為"0000"十六進制為'0'.</p&

32、gt;<p>  由此可推斷模擬結(jié)果符合設(shè)計要求.</p><p><b>  管腳分配圖</b></p><p><b>  五.心得體會 </b></p><p>  通過本次課程設(shè)計我感觸很深,這是我做的第一次課程設(shè)計,從開始到結(jié)束到是在匆匆忙忙的生活中度過的,但是可以學的到很多很多的東西,同時不僅可以

33、鞏固以前所學過的知識,而且學到了很多在書本上所沒有學到過的知識。通過這次設(shè)計,進一步加深了對EDA的了解,讓我對它有了更加濃厚的興趣。在編輯模塊過程中我遇到很多問題,所以慢慢的很少討論問題的我跟同學對設(shè)計的交流成了家常便飯,在整個過程中雖然付出了很多的努力,當看到顯示頻上不斷跳出自己設(shè)計的字符時我覺得任何困難都值得。</p><p>  通過這次課程設(shè)計使我懂得了理論與實際相結(jié)合是很重要的,只有理論知識是遠遠不夠

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論