版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、<p><b> 音樂發(fā)生器設(shè)計</b></p><p><b> 目 錄</b></p><p> 一:設(shè)計任務與要求……………………………………………3</p><p> 二:總體框圖…………………………………………………3</p><p> 三:選擇器件………………
2、…………………………………4</p><p> 四:功能模塊………………………………………………5</p><p> 1:Songer模塊………………………………………………6</p><p> 1)music模塊(程序)…………………………………9</p><p> 2)NoteTabs模塊(程序 仿真圖)……………………6<
3、/p><p> 3)ToneTaba模塊(程序 仿真圖)……………………7</p><p> 4)Speakera模塊(程序 仿真圖)……………………9</p><p> 2:div模塊(程序 仿真圖)………………………………12</p><p> 3:七段譯碼器模塊(程序)………………………………14</p><p&
4、gt; 五:總體設(shè)計電路圖……………………………………………1 </p><p> 1.頂層設(shè)計VHDL描述songer模塊………………………16</p><p> 2.頂層文件的仿真結(jié)果…………………………………………</p><p> 3.管腳分配圖…………………………………………</p><p&
5、gt; 4.EDA硬件驗證…………………………………………</p><p> 六:心得體會……………………………………19</p><p> 七:參考資料…………………………………………………21</p><p><b> 前 言</b></p><p> 樂曲演奏廣泛用于自動答錄裝置、手機鈴聲、集團
6、電話、及智能儀器儀表設(shè)備。實現(xiàn)方法有許多種,在眾多的實現(xiàn)方法中,以純硬件完成樂曲演奏,隨著FPGA集成度的提高,價格下降,EDA設(shè)計工具更新?lián)Q代,功能日益普及與流行,使這種方案的應用越來越多。如今的數(shù)字邏輯設(shè)計者面臨日益縮短的上市時間的壓力,不得不進行上萬門的設(shè)計,同時設(shè)計者不允許以犧牲硅的效率達到保持結(jié)構(gòu)的獨特性。使用現(xiàn)今的EDA軟件工具來應付這些問題,并不是一件簡單的事情。FPGA預裝了很多已構(gòu)造好的參數(shù)化庫單元LPM器件。通過引入
7、支持LPM的EDA軟件工具,設(shè)計者可以設(shè)計出結(jié)構(gòu)獨立而且硅片的使用效率非常高的產(chǎn)品。本文介紹在EDA開發(fā)平臺上利用VHDL語言設(shè)計數(shù)控分頻器電路,用數(shù)控分頻的原理設(shè)計音樂硬件演奏電路,并定制LPM-ROM存儲音樂數(shù)據(jù),以《揮著翅膀的女孩兒》《菊花臺》樂曲為例,將音樂數(shù)據(jù)存儲到LPM-ROM,就達到了以純硬件的手段來實現(xiàn)樂曲的演奏效果。只要修改LPM-ROM所存儲的音樂數(shù)據(jù),將其換成其他樂曲的音樂數(shù)據(jù),再重新定制LPM-ROM,連接到程序
8、中就可以實現(xiàn)其它樂曲的演奏。</p><p> 一:設(shè)計任務與要求(1)利用數(shù)控分頻器設(shè)計硬件樂曲演奏電路。(2)利用給定的音符數(shù)據(jù)定制ROM“music”。 (3)設(shè)計乘法器邏輯框圖,并在QuartusII上完成全部設(shè)計。(4)與演奏發(fā)音相對應的簡譜碼輸出在數(shù)碼管上顯示。</p><p><b> 設(shè)計方案 </b></p><p&
9、gt; 方案一:利用純硬件電路完成樂曲演奏。</p><p> 方案二:利用FPGA來實現(xiàn)樂曲演奏電路。分成各個模塊來完成演奏樂曲的任務。</p><p><b> 方案對比</b></p><p> 與利用EDA技術(shù)來實現(xiàn)音樂演奏相比較,純硬件電路要復雜的多,而且不能在中途查看是否正確。不如選擇EDA 來實現(xiàn)音樂演奏。</p&g
10、t;<p><b> 二:總體框圖</b></p><p> 圖1-電路原理框圖 </p><p><b> 三:選擇器件</b></p><p> 1.EP1C12Q240C8芯片及相應的連接設(shè)備</p><p><b> 2.計
11、算機</b></p><p> 3.EDA技術(shù)試驗箱</p><p><b> 四:功能模塊</b></p><p> 該主系統(tǒng)由三個模塊:Songer.vhd、div.vhd、SEG7.Vhd(7段譯碼器)組成。</p><p> ?、偈紫榷ㄖ芐onger.Vhd,此模塊包括3個小模塊,分別是Note
12、Tabs模塊,ToneTaba模塊,Speakera模塊,此外,我們還需建立一個名為“music”的LPM_ROM模塊與NoteTabs模塊連接。</p><p> ?、诟鶕?jù)給出的乘法器邏輯原理圖及其模塊的VHDL描述在QUARTUS2上完成設(shè)計。</p><p> ?、弁瓿删幾g,綜合,仿真,管腳分配,編程下載。</p><p> 1.對于模塊NoteTabs的功
13、能描述:該模塊的功能就是定義音符數(shù)據(jù)ROM“music”隨著該模塊中的計數(shù)器控制時鐘頻率速率作加法計數(shù)時,即地址值遞增時,音符數(shù)據(jù)ROM中的音符數(shù)據(jù)。將從ROM中通過ToneIndex[4..0]端口輸向ToneTaba模塊,演奏《揮著翅膀的女孩兒》《菊花臺》。在該模塊中設(shè)置了一個8位二進制計數(shù)器(計數(shù)最大值為197),作為音符數(shù)據(jù)ROM的地址發(fā)生器。這個計數(shù)器的計數(shù)頻率為4Hz,即每一計數(shù)值的停留時間為0.25秒,恰為當全音符設(shè)為1秒
14、時,四四拍的4分音符持續(xù)時間。</p><p> 2.對于模塊ToneTaba,是樂曲簡譜碼對應的分頻預置數(shù)查找表電路,其中設(shè)置了樂曲的全部音符所對應的分頻置數(shù),每一音符的停留時間由音樂節(jié)拍和音調(diào)發(fā)生器模塊NoteTabs的CLK的輸入頻率決定,這些值由對應于ToneTaba的4位輸入值Index[4..0]確定,最多有16種可選值。輸向ToneTaba中Index[4..0]的值ToneIndex[4..0]
15、的輸出值與持續(xù)的時間由模塊NoteTabs決定。</p><p> 3.模塊Speakera是一個數(shù)控分頻器,音符的頻率可由此模塊獲得。由CLK端輸入一具有較高頻率的信號,通過Speakera分頻后由SPKOUT輸出。由于直接從數(shù)控分頻器中出來的輸出信號是脈寬極窄的脈沖式信號。為了利用驅(qū)動揚聲器,需加一個D觸發(fā)器以均衡其占空比,頻率將是原來的1/2。Speakera對CLK輸入信號的分頻比由預置數(shù)Tone決定。
16、SPKOUT的輸出頻率將決定每一音符的音調(diào)。</p><p> 4. SEG7 模塊是一個七段譯碼器,作用是在硬件上顯示音頻的高低,用0到7分別對應空節(jié)拍、do、ri、mi、fa、suo、la、xi,高音時,LED亮,數(shù)碼管顯示對應數(shù)字。</p><p> 1.對于模塊songer?!稉]著翅膀的女孩兒》《菊花臺》樂譜如下:</p><p><b>
17、LPM_ROM模塊</b></p><p> 定義音符數(shù)據(jù)ROM“music”。 Music模塊存放樂曲中的音符數(shù)據(jù),它是利用LPM-ROM來實現(xiàn)的,將樂譜中相應的音符放在一個連續(xù)的地址上。它首先是編寫音符數(shù)據(jù)文件,將樂譜中相應的音符存放在一個連續(xù)的地址上。因為1拍的時間定為1秒,提供的是4Hz的時鐘頻率(即1/4拍的整數(shù)倍),則需將這個音符存儲在相應次數(shù)的連續(xù)幾個地址上。然后對音符數(shù)據(jù)進行ROM定
18、制。</p><p> 隨著NoteTabs中的計數(shù)器按時鐘頻率速度作加法計數(shù)時,音符數(shù)據(jù)將從ROM中通過ToneIndex端口輸向ToneTaba模塊。</p><p> 2)NoteTabs模塊的程序:</p><p> library ieee;</p><p> use ieee.std_logic_1164.all;<
19、/p><p> use ieee.std_logic_unsigned.all;</p><p> entity NoteTabs is </p><p> port (clk : in std_logic;</p><p> ToneIndex : out std_logic_vector(4 downto 0));</p>
20、<p><b> end;</b></p><p> architecture one of NoteTabs is </p><p> component MUSIC</p><p><b> PORT(</b></p><p> address: IN STD_LOG
21、IC_VECTOR (7 DOWNTO 0);</p><p> clock: IN STD_LOGIC ;</p><p> q: OUT STD_LOGIC_VECTOR (4 DOWNTO 0)</p><p><b> );</b></p><p> END component;</p>
22、;<p> signal Counter : std_logic_vector(7 downto 0);</p><p><b> begin</b></p><p> CNT8 : process(clk,Counter)</p><p><b> begin</b></p><
23、p> if Counter=138 then Counter<="00000000";</p><p> elsif (clk'event and clk='1') then Counter<=Counter+1;end if;</p><p> end process;</p><p> u1:
24、 MUSIC port MAP (address=>Counter,q=>ToneIndex,clock=>clk);</p><p><b> end;</b></p><p><b> 其仿真波形如下:</b></p><p> 3) 對于模塊ToneTaba</p><p&
25、gt; ToneTaba是樂曲簡譜碼對應的分頻預置數(shù)查表電路。 </p><p> 以下為ToneTaba的模塊程序:</p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> entity ToneTaba is</p&
26、gt;<p> port( Index : in std_logic_vector(4 downto 0);</p><p> CODE : out std_logic_vector(3 downto 0);</p><p> HIGH : out std_logic;</p><p> Tone : out std_logic_vector(
27、10 downto 0));</p><p><b> end;</b></p><p> architecture one of ToneTaba is </p><p><b> begin</b></p><p> Search: process(Index)</p>&
28、lt;p><b> begin</b></p><p> case Index is </p><p> when "00000" => Tone<="11111111111";CODE<="0000";HIGH<='0'; --2047</p>
29、<p> when "00001" => Tone<="01100000101";CODE<="0001";HIGH<='0'; --773</p><p> when "00010" => Tone<="01110010000";CODE<
30、;="0010";HIGH<='0'; --912</p><p> when "00011" => Tone<="10000001100";CODE<="0011";HIGH<='0'; --1036</p><p> when "0
31、0101" => Tone<="10010101101";CODE<="0101";HIGH<='0'; --1197</p><p> when "00110" => Tone<="10100001010";CODE<="0110";HIGH&
32、lt;='0'; --1290</p><p> when "00111" => Tone<="10101011100";CODE<="0111";HIGH<='0'; --1372</p><p> when "01000" => Tone&l
33、t;="10110000010";CODE<="0001";HIGH<='1'; --1410</p><p> when "01001" => Tone<="10111001000";CODE<="0010";HIGH<='1'; --1480
34、</p><p> when "01010" => Tone<="11000000110";CODE<="0011";HIGH<='1'; --1542</p><p> when "01011" => Tone<="11000101011&qu
35、ot;;CODE<="0100";HIGH<='1'; --1579</p><p> when "01100" => Tone<="11001010110";CODE<="0101";HIGH<='1'; --1622</p><p>
36、when "01101" => Tone<="11010000100";CODE<="0110";HIGH<='1'; --1668</p><p> when "01110" => Tone<="11010110101";CODE<="0111
37、";HIGH<='1'; --1717</p><p> when "01111" => Tone<="11011000000";CODE<="0001";HIGH<='1'; --1728</p><p> when "10000"
38、=> Tone<="11011101010";CODE<="0010";HIGH<='1'; --1770</p><p> when "10001" => Tone<="11100000111";CODE<="0011";HIGH<='1&
39、#39;; --1799</p><p> when others=>NULL;</p><p> end case;</p><p> end process;</p><p><b> end;</b></p><p><b> 該模塊的波形圖為:</b
40、></p><p> 4) 對于Speakera模塊</p><p> 音符的頻率是由該模塊獲得</p><p> 音符頻率的獲得:多個不同頻率的信號可通過對某個基準頻率進行分頻器獲得。該程序選取750KHz的基準頻率。由于現(xiàn)有的高頻時鐘脈沖信號的頻率為12MHz,需對其進行16分頻,才能獲得750KHz的基準頻率。對基準頻率分頻后的輸出信號是一些脈寬極
41、窄的尖脈沖信號。為提高輸出信號的驅(qū)動能力,以使揚聲器有足夠的功率發(fā)音,需要再通過一個分頻器(D觸發(fā)器)將原來的分頻器的輸出脈沖均衡為對稱方波,這時的頻率將是原來的1/2,即為375KHz。</p><p> 各個音符的頻率及其對應的分頻系數(shù)(基準頻率375KHz)</p><p> Speakera的模塊程序:</p><p> library ieee;&l
42、t;/p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity speakera is</p><p> port(clk:in std_logic;</p><p> tone:in
43、 std_logic_vector(10 downto 0);</p><p> spks:out std_logic);</p><p><b> end;</b></p><p> architecture one of speakera is</p><p> signal preclk,fullspks:
44、std_logic;</p><p><b> begin</b></p><p> divideclk:process(clk)</p><p> variable count4:std_logic_vector(3 downto 0);</p><p><b> begin</b><
45、;/p><p> preclk<='0';</p><p> if count4>11 then preclk<='1';count4:="0000";</p><p> elsif clk'event and clk='1' then count4:=count4+1;
46、</p><p><b> end if;</b></p><p> end process;</p><p> genspks:process(preclk,tone)</p><p> variable count11:std_logic_vector(10 downto 0);</p><
47、;p><b> begin</b></p><p> if preclk'event and preclk='1' then </p><p> if count11="11111111111"then count11:=tone; fullspks<='1';</p><
48、;p> else count11:=count11+1;fullspks<='0';end if;</p><p><b> end if;</b></p><p> end process;</p><p> delayspks:process(fullspks)</p><p>
49、 variable count2 :std_logic;</p><p><b> begin</b></p><p> if fullspks'event and fullspks ='1' then count2:=not count2;</p><p> if count2='1' then
50、spks<='1';</p><p> else spks<='0';end if;</p><p><b> end if;</b></p><p> end process;</p><p><b> end;</b></p>&
51、lt;p> speakera的仿真圖如下:</p><p><b> 2.div模塊</b></p><p> 由于我們所使用的硬件設(shè)備不能滿足我們所需要的兩個CLK輸出的頻率,所以我們使用一個分頻器來實現(xiàn)把一個50MHz的晶體振蕩頻率分成一個12MHz,一個8Hz兩個分頻率,再把兩個頻率分別給所需的兩個模塊,div模塊的程序:</p>&l
52、t;p> LIBRARY ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> ENTITY div IS</p><p><b> PORT(</b></p>
53、;<p> clk :IN STD_LOGIC; </p><p> CLK12MHz,CLK8Hz: OUT std_logic);</p><p><b> END div;</b></p><p> ARCHITECTURE one of div is</p><p><b>
54、begin</b></p><p> nana:process(clk)</p><p> variable cnt:integer range 0 to 2;</p><p> variable tmp:std_logic;</p><p><b> begin</b></p><
55、;p> if(clk'event and clk='1')then</p><p> if cnt>=1 then</p><p><b> cnt:=0;</b></p><p> tmp:=not tmp;</p><p><b> else</b>
56、</p><p> cnt:=cnt+1;</p><p><b> end if;</b></p><p><b> end if;</b></p><p> CLK12MHz<=tmp;</p><p> end process nana;</p&g
57、t;<p> nbnb:process(clk)</p><p> variable cnt:integer range 0 to 3125000;</p><p> variable tmp:std_logic;</p><p><b> begin</b></p><p> if(clk
58、9;event and clk='1')then</p><p> if cnt>=3124999 then</p><p><b> cnt:=0;</b></p><p> tmp:=not tmp;</p><p><b> else</b></p>
59、<p> cnt:=cnt+1;</p><p><b> end if;</b></p><p><b> end if;</b></p><p> CLK8Hz<=tmp;</p><p> end process nbnb;</p><p>
60、<b> end one;</b></p><p><b> 此程序的仿真圖為:</b></p><p> ?。ㄓ捎贑LK8Hz過于小,所以在仿真圖中看不到)</p><p><b> 3.七段數(shù)碼管模塊</b></p><p> 此部分程序應用我們前面用過的SEG7模
61、塊即可實現(xiàn),程序為:</p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> ENTITY SEG7 IS</p><p> PORT(num
62、:IN std_logic_vector(3 downto 0);</p><p> A:OUT std_logic;</p><p> B:OUT std_logic;</p><p> C:OUT std_logic;</p><p> D:OUT std_logic;</p><p> E:OUT st
63、d_logic;</p><p> F:OUT std_logic;</p><p> G:OUT std_logic;</p><p> DP:OUT std_logic</p><p><b> );</b></p><p><b> END SEG7;</b>
64、</p><p> ARCHITECTURE fun OF SEG7 IS</p><p> signal led:std_logic_vector(6 downto 0);</p><p><b> BEGIN</b></p><p> A<=led(6);</p><p> B
65、<=led(5);</p><p> C<=led(4);</p><p> D<=led(3);</p><p> E<=led(2);</p><p> F<=led(1);</p><p> G<=led(0);</p><p><b&g
66、t; DP<='0';</b></p><p> led<="1111110"when num="0000"else</p><p> "0110000"when num="0001"else</p><p> "1101101&
67、quot;when num="0010"else</p><p> "1111001"when num="0011"else</p><p> "0110011"when num="0100"else</p><p> "1011011"wh
68、en num="0101"else</p><p> "1011111"when num="0110"else</p><p> "1110000"when num="0111"else</p><p> "1111111"when num=
69、"1000"else</p><p> "1111011"when num="1001"else</p><p> "1110111"when num="1010"else</p><p> "0011111"when num="1
70、011"else</p><p> "1001110"when num="1100"else</p><p> "0111101"when num="1101"else</p><p> "1001111"when num="1110&quo
71、t;else</p><p> "1000111"when num="1111";</p><p><b> END fun;</b></p><p><b> 五:總體設(shè)計電路圖</b></p><p> 1.頂層設(shè)計VHDL描述 songer 模塊
72、</p><p> Songer模塊就是頂層設(shè)計文件,所有的模塊都由它調(diào)用。</p><p> 該Songer模塊的程序為:</p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> entity Songer is </
73、p><p> port( CLK12MHZ : in std_logic;</p><p> CLK8HZ : in std_logic;</p><p> CODE1 : out std_logic_vector(3 downto 0);</p><p> HIGH1 : out std_logic;</p><p&
74、gt; SPKOUT : out std_logic);</p><p><b> end;</b></p><p> architecture one of Songer is </p><p> component NoteTabs is </p><p> port (clk : in std_logic
75、;</p><p> ToneIndex : out std_logic_vector(3 downto 0));</p><p> end component;</p><p> component ToneTaba</p><p> port( Index : in std_logic_vector(3 downto 0);<
76、;/p><p> CODE : out std_logic_vector(3 downto 0);</p><p> HIGH : out std_logic;</p><p> Tone : out std_logic_vector(10 downto 0));</p><p> end component;</p>&l
77、t;p> component Speakera</p><p> port(clk : in std_logic;</p><p> Tone : in std_logic_vector(10 downto 0);</p><p> SpkS : out std_logic);</p><p> end component;&
78、lt;/p><p> signal Tone : std_logic_vector(10 downto 0);</p><p> signal ToneIndex : std_logic_vector(3 downto 0); </p><p><b> begin</b></p><p> u1:NoteTab
79、s port map (clk=>CLK8HZ,ToneIndex=>ToneIndex);</p><p> u2: ToneTaba port map (Index=>ToneIndex,Tone=>Tone,CODE=>CODE1,HIGH=>HIGH1);</p><p> u3: Speakera port map (clk=>CLK
80、12MHZ,Tone=>Tone,SpkS=>SPKOUT);</p><p><b> end;</b></p><p> 2.頂層設(shè)計的仿真結(jié)果如下:</p><p> 將Songer模塊設(shè)為當前文件,進行編譯,編譯成功.</p><p> 頂層文件管腳分配圖如下:</p><
81、p> 連線及下載 在硬件電路上實現(xiàn)此程序 CLK接50MHz晶振輸入,高音HIGH接IO9,然后再與LED連接,SPKOUT接IO10,然后與揚聲器連接。</p><p> 下載頂層文件前,此前必須對ROM進行全局編譯</p><p><b> 六:心得體會 </b></p><p> 課程設(shè)計剛開始的時候,對EDA課程設(shè)計
82、很陌生,也感到很茫然,也非常沒有信心。通過去圖書館查找資料,查閱了,一些相關(guān)技術(shù)書籍,書中通過大量的圖示形象的講解了FPGA技術(shù)。在整整兩個星期的日子里,可以說是非常難熬的,但是可以學到很多東西,同時不僅可以鞏固以前學習過的知識,而且學到了很多課本上沒有的東西。通過這次設(shè)計,進一步加深了對EDA的了解,讓我對它產(chǎn)生了濃厚的興趣。特別是當每一個子模塊編寫、綜合、仿真成功的時候,心里特別開心。但是在編寫頂層文件時遇到了不少問題,特別是各元件
83、之間的連接,以及信號的定義,總是會出錯。在細心的檢查下,終于找出了錯誤,排除錯誤后,程序編譯就通過了。在這之前,產(chǎn)生音樂文件,關(guān)聯(lián)文件,產(chǎn)生器件,連接電路,仿真每一步都不允許有錯誤。哪怕是程序里的一個字母錯了,都會導致程序錯誤。這讓我養(yǎng)成了嚴謹?shù)膶嶒炞黠L。</p><p> 通過這次課程設(shè)計使我懂得了理論與實際相結(jié)合是很重要的,只有理論知識是遠遠不夠的,只有把所學的理論知識與實踐相結(jié)合起來,從理論中得出結(jié)論,才
84、能真正為社會服務,從而提高自己的實際動手能力和獨立思考的能力。在設(shè)計的過程中遇到問題,可以說得是困難重重,這畢竟第一次做的,難免會遇到過各種各樣的問題,同時在設(shè)計的過程中發(fā)現(xiàn)了自己的不足之處,對以前所學過的知識理解得不夠深刻,掌握得不夠牢固。</p><p> 總的來說,這次設(shè)計的音樂發(fā)生器還是比較成功的,在設(shè)計中遇到了很多問題,最后在老師的辛勤的指導下,終于迎刃而解,有點小小的成就感,終于覺得平時所學的知識有
85、了實用的價值,達到了理論與實際相結(jié)合的目的,不僅學到了不少知識,而且鍛煉了自己的能力,使自己對以后的路有了更加清楚的認識,同時,對未來有了更多的信心。最后,對給過我?guī)椭乃型瑢W和各位指導老師再次表示忠心的感謝!</p><p><b> 七:參考資料</b></p><p> 【1】潘松,黃繼業(yè)。EDA技術(shù)與 VHDL(第三版).北京:清華大學 出版社,2009
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- eda音樂發(fā)生器課程設(shè)計
- eda課程設(shè)計報告--樂曲發(fā)生器
- eda課程設(shè)計--信號發(fā)生器
- eda課程設(shè)計--任意波形發(fā)生器
- eda課程設(shè)計-簡易信號發(fā)生器
- eda課程設(shè)計--dds信號發(fā)生器
- eda設(shè)計實驗課程設(shè)計-函數(shù)信號發(fā)生器
- eda課程設(shè)計報告---正弦函數(shù)信號發(fā)生器的設(shè)計
- eda課程設(shè)計---函數(shù)信號發(fā)生器的設(shè)計
- eda課程設(shè)計-多功能波形信號發(fā)生器
- eda課程設(shè)計--基于cpld的信號發(fā)生器設(shè)計
- eda課程設(shè)計--1616字符發(fā)生器
- eda課程設(shè)計——基于dds的正弦信號發(fā)生器設(shè)計
- eda課程設(shè)計--基于fpga的dds信號發(fā)生器設(shè)計
- eda課程設(shè)計報告--vga顯示器彩色信號發(fā)生器電路
- 課程設(shè)計報告---方波發(fā)生器設(shè)計
- 函數(shù)發(fā)生器課程設(shè)計報告
- 函數(shù)發(fā)生器課程設(shè)計報告
- 波形發(fā)生器課程設(shè)計報告
- 波形發(fā)生器課程設(shè)計報告
評論
0/150
提交評論