2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩11頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、<p>  集成電路EDA技術(shù)課程設(shè)計報告</p><p>  題 目 自動售郵票機的設(shè)計 </p><p>  指 導 教 師 </p><p>  院(系、部) 電信學院

2、 </p><p>  專 業(yè) 班 級 </p><p>  學 號 </p><p>  姓 名

3、 </p><p>  日 期 2013年6月8日 </p><p><b>  摘要</b></p><p>  在當今這個以數(shù)字化和網(wǎng)絡(luò)化為特征的信息技術(shù)革命大潮中,電子技術(shù)得到了飛速

4、的發(fā)展,現(xiàn)代電子產(chǎn)品幾乎滲透到了社會的各個領(lǐng)域。現(xiàn)代電子設(shè)計技術(shù)的核心就是EDA技術(shù),EDA是指以計算機為工作平臺,融合應用電子技術(shù)、計算機技術(shù)、智能化技術(shù)最新成果而研制成的電子CAD通用軟件包,主要能輔助進行三方面的設(shè)計工作,即IC設(shè)計、電子電路設(shè)計和PCB設(shè)計。EDA代表了當今電子設(shè)計技術(shù)的最新發(fā)展方向,它的基本特征是:設(shè)計人員按照“自頂向下”的設(shè)計方法,對整個系統(tǒng)進行方案設(shè)計和功能劃分,系統(tǒng)的關(guān)鍵電路用一片或幾片專用集成電路(AS

5、IC)實現(xiàn),然后采用硬件描述語言(HDL)完成系統(tǒng)行為級設(shè)計,最后通過綜合器和適配器生成最終的目標器件,這樣的設(shè)計方法被稱為高層次的電子設(shè)計方法。硬件描述語言(HDL)是一種用于設(shè)計硬件電子系統(tǒng)的計算機語言,它用軟件編程的方式來描述電子系統(tǒng)的邏輯功能、電路結(jié)構(gòu)和連接形式,與傳統(tǒng)的門級描述方式相比,它更適合大規(guī)模系統(tǒng)的設(shè)計。本設(shè)計利用Altera公司的開發(fā)軟件Quartus II平臺,采用VHDL硬件描述語言編程的設(shè)計方法設(shè)計系

6、統(tǒng)核心電路的硬件程序,在Quartus II軟件平臺上進行</p><p><b>  綜述</b></p><p>  進入20世紀90年代以后,EDA技術(shù)的技術(shù)發(fā)展和普及給電子系統(tǒng)的設(shè)計帶來了革命性的變化,并已滲透到電子系統(tǒng)設(shè)計的各個領(lǐng)域。硬件描述語言(Hardware Description Language,HDL)是EDA技術(shù)的重要組成部分,是電子

7、系統(tǒng)硬件行為描述、結(jié)構(gòu)描述、數(shù)據(jù)流描述的語言。國外的硬件描述語言有很多,如VHDL、Verilog-HDL和ABEL-HDL等。這些語言有的從PASCAL發(fā)展而來,也有一些從C語言發(fā)展而來。【1】EDA技術(shù)就是以計算機為工具,設(shè)計者在EDA軟件平臺上,用硬件描述語言VHDL完成設(shè)計文件,然后由計算機自動地完成邏輯編譯、化簡、分割、綜合、優(yōu)化、布局、布線和仿真,直至對于特定目標芯片的適配編譯、邏輯映射和編程下載等工作。本次課程設(shè)計將運用V

8、HDL設(shè)計一款自動售郵票機,培養(yǎng)EDA操作技巧?!?】VHDL語言可描述一個數(shù)字電路的輸入、輸出以及相互間的行為與功能。而其硬件關(guān)聯(lián)性的語法與形式雖類似于一般程序語言,但是涵蓋許多與硬件關(guān)聯(lián)的語法構(gòu)造?!?】其特有的層次性一一由上而下的結(jié)構(gòu)式語法結(jié)構(gòu)適合大型設(shè)計項目的分包下去,各自獨立運行。從抽象的層次而言,VHDL的語</p><p><b>  1、行為式</b></p>

9、<p>  采用語言邏輯方式直接描述硬件電路的工作,表示一個設(shè)計的功能或算法,描述IC內(nèi)部電路行為。在此結(jié)構(gòu)定義中可以同時包含并行描述與順序語句。</p><p><b>  2、數(shù)據(jù)流</b></p><p>  從數(shù)據(jù)輸入與輸出的觀點,大部分的并行語句都用于數(shù)據(jù)轉(zhuǎn)換工作。</p><p><b>  3、結(jié)構(gòu)式</

10、b></p><p>  允許設(shè)計者以樹狀形式調(diào)用內(nèi)置電路組件。通常以引腳圖方式調(diào)用并連接。從硬件的角度說,調(diào)用組件就像在組合與連接電路元器件一樣?!?】</p><p><b>  4、寄存器傳輸式</b></p><p>  VHDL是一種類型化的語言,一種數(shù)據(jù)類型的數(shù)據(jù)內(nèi)容不能指定給其他類型的數(shù)據(jù),而且不同數(shù)據(jù)類型的數(shù)據(jù)需經(jīng)過轉(zhuǎn)換才

11、能相互運算。每一種電路的VHDL碼都是實體與結(jié)構(gòu)的成對組合,先用實體來定義一個IC電路引腳規(guī)格與基本參數(shù),然后在用結(jié)構(gòu)定義IC內(nèi)部電路的功能運做,即構(gòu)成一個完整的電路模塊。【5】</p><p>  設(shè)計要求:有一個自動售郵票機,出售面值為6角和8角的郵票。售票機有1角,5角和1元三個硬幣投放口,售票機每次只能售出一枚郵票。當所投硬幣達到或者超過購買者所選面值時,售出一枚郵票,并找回零錢,售票機回到初始狀態(tài);當所

12、投硬幣不足郵票面值時,可以通過一個復位鍵退回所投硬幣,售票機回到初始狀態(tài)。 </p><p><b>  方案設(shè)計與分析</b></p><p><b>  1、大體設(shè)計思路:</b></p><p>  (1)輸入模塊的設(shè)計:</p><p> ?、佼斖度氲氖俏褰堑挠矌艜r,輸出的是‘ 0 ’信

13、號。</p><p> ?、诋斖度氲氖且辉矌诺臅r候,輸出的是‘ 1 '信號。</p><p>  (2)銷售模塊的設(shè)計:</p><p> ?、偾皟纱屋斎氲挠矌趴偤统^一元五角時,則不需要考慮第三次輸入了;如:"10"、"01"、"11","11"代表銷售一枚郵票,還要找零五角

14、。</p><p> ?、谌绻皟纱沃煌度胍辉X,即兩枚五角硬幣,則第三次輸入的一定是五角硬幣,故第三次投入五角硬幣就售出一枚郵票,不用找零。</p><p><b>  2、主要端口說明:</b></p><p>  (1)輸入識別模塊端口:第一次輸入端口為m0,第二次輸入端口為m1,第三次不加以識別,有硬幣輸入則售出一枚郵票。若端口c為高電

15、平,則輸出,反之則售出郵票。</p><p>  (2)銷售機輸入端口(即識別模塊的輸出端口):輸入端口m1的輸出端口為y1,輸入端口m0的輸出端口為y0,外加第三個輸入端口c。</p><p>  (3)銷售機輸出端口:</p><p> ?、賡為高電平代表有郵票輸出;</p><p>  ②cout為代表需要找零五角。</p>

16、<p>  3、整體設(shè)計方框圖:</p><p>  整體設(shè)計方框圖如下:輸入硬幣信號m1,m0,c為輸入信號,售票信號s和找零信號cout為輸出信號。</p><p>  圖3.1 自動出售郵票機電路流程設(shè)計方框圖</p><p><b>  4、硬件電路的設(shè)計</b></p><p> ?。?)硬幣識別

17、模塊的設(shè)計</p><p>  設(shè)計原理是:因為投入的硬幣之有兩種,一元的和五角的,所以為了簡化處理,當檢測到五角的硬幣的時候就輸出‘ 0 ’電平,其余的情況為高電平‘ 1 ’。</p><p>  具體的vhdl編寫程序如下:</p><p><b>  Input :</b></p><p>  library ie

18、ee;</p><p>  use ieee.std_logic_1164.all;</p><p>  entity input is</p><p>  port(m0:in std_logic_vector(3 downto 0) ;</p><p>  y0:out std_logic);</p><p>  

19、end input;</p><p>  architecture one of input is</p><p><b>  begin </b></p><p>  process(m0) </p><p><b>  begin</b></p><p>  if m0=

20、"0101"then y0<='0'; --輸入為‘5’時輸出為低電平</p><p>  else y0<='1'; --輸入1元時輸出為高電平(因為只有兩種硬幣)</p><p>  end if; --采用選擇語句簡單地設(shè)計</p><p

21、>  end process;</p><p><b>  end one;</b></p><p>  Input1: --判斷方式一樣,因為輸入輸出名稱不同而不同</p><p>  library ieee; --再次設(shè)計</p><p>  use i

22、eee.std_logic_1164.all;</p><p>  entity input1 is</p><p>  port(m1:in std_logic_vector(3 downto 0) ;</p><p>  y1:out std_logic);</p><p>  end input1;</p><p&g

23、t;  architecture one of input1 is</p><p><b>  begin </b></p><p>  process(m1) </p><p><b>  begin</b></p><p>  if m1="0101"then y1<=

24、'0';</p><p>  else y1<='1';</p><p><b>  end if;</b></p><p>  end process;</p><p><b>  end one;</b></p><p>  (2)郵

25、票銷售模塊的設(shè)計</p><p>  設(shè)計原理是:根據(jù)輸入信號的不同判別是否售出郵票。</p><p>  具體vhdl編寫程序如下:</p><p><b>  Seller:</b></p><p>  library ieee;</p><p>  use ieee.std_logic_11

26、64.all;</p><p>  use ieee.std_logic_unsigned.all;</p><p>  entity seller is</p><p>  port(y0,c,y1:in std_logic;</p><p>  s,cout:out std_logic);</p><p>  en

27、d seller;</p><p>  architecture one of seller is</p><p>  signal x: std_logic_vector(1 downto 0);</p><p><b>  begin</b></p><p><b>  x<=y1&y0;&l

28、t;/b></p><p>  process(y1,y0,x,c)</p><p><b>  begin </b></p><p>  if x<="00" then s<='0';cout<='0' ;--輸入兩張5角硬幣</p><p>

29、  if c='1' then s<='1';cout<='0'; --判斷第三次是否輸入</p><p>  else s<='0';cout<='0';end if;</p><p>  elsif x<="10" then s<='1&

30、#39;;cout<='0';--輸入一塊五,售出郵票,不找零</p><p>  elsif x<="01" then s<='1';cout<='0';</p><p>  elsif x<="11" then s<='1';cout<=&#

31、39;1';--輸入兩塊,售出郵票,找零</p><p>  else null;</p><p><b>  end if;</b></p><p>  end process;</p><p><b>  end one;</b></p><p> ?。?)自動出

32、售郵票機電路的設(shè)計</p><p>  本部分采用原理圖文件設(shè)計,把郵票機電路總框圖整合在一起,完成自動出售郵票機的電路。</p><p>  具體設(shè)計原理圖如下:stampseller2</p><p>  圖4.1 自動出售郵票機電路原理圖</p><p>  m0,m1,c為輸入信號,s和cout為輸出信號。根據(jù)輸入信號及輸出信號的結(jié)果

33、可以判別此次設(shè)計是否成功。</p><p><b>  5、系統(tǒng)仿真</b></p><p> ?。?)對自動出售郵票機電路設(shè)計原理圖的編譯</p><p>  在quartus軟件平臺下對用vhdl語言編寫的程序生成符號文件,再利用原件符號構(gòu)成總體電路原理圖,如圖4.1所示。</p><p>  將原理圖stampse

34、ller2(圖4.1)與vhdl程序在同一個工程內(nèi)生成,設(shè)置stampseller2為頂層實體,對其進行編譯可得如下圖結(jié)果:</p><p>  圖5.1 自動出售郵票機電路原理圖編譯結(jié)果</p><p> ?。?)對自動出售郵票機電路設(shè)計原理圖的仿真</p><p>  電路原理圖編譯成功以后,便需要對編譯的文件進行仿真以驗證設(shè)計的電路的功能是否正確,能否正常運行

35、。</p><p><b>  具體步驟如下:</b></p><p>  新建一個波形圖文件(.vwf);</p><p>  在編輯菜單設(shè)置網(wǎng)格時間和仿真截止時間;</p><p>  導入節(jié)點,對節(jié)點進行參數(shù)設(shè)置,保存波形圖文件;</p><p>  最后對文件進行仿真(simulation

36、),觀察波形,驗證設(shè)計是否正確。</p><p>  仿真波形如下圖所示:</p><p>  圖5.2 自動出售郵票機電路原理圖仿真結(jié)果(1)</p><p>  圖5.3 自動出售郵票機電路原理圖仿真結(jié)果(2)</p><p>  圖5.2 是原理圖仿真波形的仿真輸出,圖5.3是被仿真輸出覆蓋后的波形輸入文件,由仿真波形可知:當輸入兩個五

37、角硬幣后(m1和m0都是‘5’),機器會判斷第三次的輸入(即c是否輸入為高電平),如果有高電平輸入則有郵票出售,如果前兩次的硬幣總額已經(jīng)達到票價,則不需要第三次輸入便會自動出售郵票,并找零。故自動出售郵票機電路設(shè)計成功。</p><p>  6、使用說明 往自動出售郵票機里累積投入一元五角,則會售出一張郵票。如果投入兩元,則售郵票機會自動找回五角零錢。</p><p><b&

38、gt;  方案綜合評價與結(jié)論</b></p><p>  這個設(shè)計實現(xiàn)了一個簡單的自動出售郵票機的功能,程序由硬件描述語言vhdl編寫。程序中采用分塊編寫的方式,將一個本來復雜的體系用簡單的邏輯表述出來。各個模塊產(chǎn)生不同的信號,以這些信號為橋梁分別控制各個模塊,這樣做設(shè)計顯得很流暢,每個部分都不是獨立存在的。</p><p>  通過本次設(shè)計,讓我更進一步的了解到Quartus

39、 II軟件的使用以及它的編譯,硬件調(diào)試,軟件仿真。也讓我們認識到在此次課程設(shè)計中所存在的問題;而通過不斷的努力去解決這些問題.在解決設(shè)計問題的同時自己也在其中有所收獲。</p><p><b>  體會與展望</b></p><p><b>  1、體會</b></p><p>  通過此次課程設(shè)計,使我更加扎實的掌握了有

40、關(guān)EDA方面的知識,在設(shè)計過程中雖然遇到了一些問題,但經(jīng)過一次又一次的思考,一遍又一遍的檢查終于找出了原因所在,也暴露出了前期我在這方面的知識欠缺和經(jīng)驗不足。實踐出真知,通過親自動手制作,使我們掌握的知識不再是紙上談兵。在這次的課程設(shè)計過程中,我們不斷發(fā)現(xiàn)錯誤,不斷改正,不斷領(lǐng)悟,不斷獲齲最終的檢測調(diào)試環(huán)節(jié),本身就是在踐行“過而能改,善莫大焉”的知行觀。這次課程設(shè)計終于順利完成了,在設(shè)計中遇到了很多問題,最后在老師的指導下,終于迎刃而解

41、。在今后社會的發(fā)展和學習實踐過程中,一定要不懈努力,不能遇到問題就想到要退縮,一定要不厭其煩的發(fā)現(xiàn)問題所在,然后一一進行解決,只有這樣,才能成功的做成想做的事,才能在今后的道路上劈荊斬棘,而不是知難而退,那樣永遠不可能收獲成功,收獲喜悅,也永遠不可能得到社會及他人對你的認可!</p><p>  我認為,在這次的課設(shè)中,不僅培養(yǎng)了獨立思考、動手操作的能力,在各種其它能力上也都有了提高。更重要的是,在實驗課上,我們

42、學會了很多學習的方法。而這是日后最實用的,真的是受益匪淺。要面對社會的挑戰(zhàn),只有不斷的學習、實踐,再學習、再實踐。這對于我們的將來也有很大的幫助。以后,不管有多苦,我想我們都能變苦為樂,找尋有趣的事情,發(fā)現(xiàn)其中珍貴的事情。就像中國提倡的艱苦奮斗一樣,我們都可以在實驗結(jié)束之后變的更加成熟,會面對需要面對的事情。</p><p>  回顧起此課程設(shè)計,至今我仍感慨頗多,從理論到實踐,在這段日子里,可以說苦多于甜,但是

43、可以學到很多很多的東西,同時不僅可以鞏固了以前所學過的知識,而且學到了很多在書本上所沒有學到過的知識。通過這次課程設(shè)計使我懂得了理論與實際相結(jié)合是很重要的,只有理論知識是遠遠不夠的,只有把所學的理論知識與實踐相結(jié)合起來,從理論中得出結(jié)論,才能真正為社會服務(wù),從而提高自己的實際動手能力和獨立思考的能力。在設(shè)計的過程中遇到問題,可以說得是困難重重,但可喜的是最終都得到了解決。</p><p>  此次設(shè)計也讓我明白了

44、思路即出路,有什么不懂不明白的地方要及時請教或上網(wǎng)查詢,只要認真鉆研,動腦思考,動手實踐,就沒有弄不懂的知識,收獲頗豐。</p><p>  2、EDA技術(shù)的展望</p><p>  與世界各知名高校相比,我國高等院校在EDA及微電子方面的教學和科研工作有著明顯的差距,我們的學生現(xiàn)在做的課程實驗普遍陳舊,動手能力較差。從某種意義上來說,EDA教學科研情況如何,代表著一個學校電類專業(yè)教學及科

45、研水平的高低,而EDA教學科研工作開展起來后,還會對微電子類、計算機類學科產(chǎn)生積極的影響,從而帶動各高校相應學科的同步發(fā)展。</p><p>  由于可編程邏輯器件性能價格比的不斷提高,開發(fā)軟件功能的不斷完善,而且由于用EDA技術(shù)設(shè)計電子系統(tǒng)具有用軟件的方式設(shè)計硬件;設(shè)計過程中可用有關(guān)軟件進行各種仿真;系統(tǒng)可現(xiàn)場編程,在線升級;整個系統(tǒng)可集成在一個芯片上等特點,使其將廣泛應用于專用集成電路和機械、電子、通信、航空

46、航天、化工、礦產(chǎn)、生物、醫(yī)學、軍事等各個領(lǐng)域新產(chǎn)品的開發(fā)研制中。</p><p>  傳統(tǒng)機電設(shè)備的電器控制系統(tǒng),如果利用EDA技術(shù)進行重新設(shè)計或進行技術(shù)改造,不但設(shè)計周期短、設(shè)計成本低,而且將提高產(chǎn)品或設(shè)備的性能,縮小產(chǎn)品體積,提高產(chǎn)品的技術(shù)含量,提高產(chǎn)品的附加值。</p><p>  EDA技術(shù)是電子設(shè)計領(lǐng)域的一場革命,目前正處于高速發(fā)展階段,每年都有新的EDA工具問世,我國EDA技術(shù)

47、的應用水平長期落后于發(fā)達國家,如果說用于民品的核心集成電路芯片還可以從國外買的到的話,那么軍用集成電路就必須依靠自己的力量研制開發(fā),因為用錢是買不到國防現(xiàn)代化的,特別是中國作為一支穩(wěn)定世界的重要力量,更要走自主開發(fā)的道路。強大的現(xiàn)代國防必須建立在自主開發(fā)的基礎(chǔ)上,因此,廣大電子工程技術(shù)人員應該盡早掌握這一先進技術(shù),這不僅是提高設(shè)計效率和我國電子工業(yè)在世界市場上生存、竟爭與發(fā)展的需要,更是建立強大現(xiàn)代國防的需要。  &

48、#160;  </p><p><b>  六、 參考文獻</b></p><p> ?。?].焦素敏.EDA應用技術(shù)[M].北京:清華大學出版社,2005.</p><p> ?。?].焦素敏.EDA技術(shù)基礎(chǔ)[M].北京:清華大學出版社,2009.</p><p> ?。?].潘松、王國棟.VHD

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論