2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩40頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、DDS原理與應(yīng)用,通信工程2002級李忠琦,自我介紹,通信學(xué)院2002級2005全國大學(xué)生電子設(shè)計競賽一等獎通信樓527E-Mail: StanleyLee@vip.sina.com,電子設(shè)計競賽,本科階段最艱苦,收獲最大的比賽拿獎=3人能力*努力程度*意志力*團隊意 識*運氣個人收獲=求知欲*努力程度*意志力*團隊意識,DDS簡介,全稱Direct Digital Synthesizer(直接數(shù)字合成),是從相位出

2、發(fā),直接采用數(shù)字技術(shù)產(chǎn)生波形的一種頻率合成技術(shù)。 簡單的說就是直接查表得到每一點波形所對應(yīng)的數(shù)字值。與MatLAB的stem函數(shù)相似,MatLAB中,N=[1:0.2:20]stem(N,sin(N)),DDS與外圍系統(tǒng),虛線部分是DDS結(jié)構(gòu)內(nèi)部D/A:模數(shù)轉(zhuǎn)換器LPF:Low-Pass Filter 低通濾波器,DDS總體結(jié)構(gòu),,,,先分部分介紹其結(jié)構(gòu),后面會講到總體原理。,相位增量(Phase Increment),Δθ

3、,也稱為頻率控制字,單純的無單位(不代表弧度或者角度)無符號數(shù)。,,相位累加器(Phase Accumulator),由一個無符號數(shù)的加法器和一個寄存器構(gòu)成,一個時鐘周期完成一次加法運算。,,量化器(Quantizer),完成很簡單的功能。將較高精度,較大位寬的輸入,丟棄低比特位,得到較低精度,較小位寬的輸出,直接用作后面查找表的地址。,,正余弦查找表(Sine/Cosine Lookup Table),存放正余弦數(shù)值。在單片機應(yīng)用中

4、,可以由MatLAB產(chǎn)生,手動寫入。在FPGA中,系統(tǒng)可自動生成,也可手動寫入。,,正余弦查找表(Sine/Cosine Lookup Table),舉例(隨地址空間大小的不同,數(shù)值發(fā)生相應(yīng)的變化)計算公式就是Y=5000*[sin(X/16*2π)+1],放大倍數(shù),直流偏移,正余弦查找表(Sine/Cosine Lookup Table),DDS總體原理,每一周期會累加上固定的相位值,然后從查找表中找到對應(yīng)的數(shù)值。,輸出頻率

5、計算公式,輸出頻率計算舉例,實際應(yīng)用中,通常是知道系統(tǒng)工作時鐘,和要求生成的輸出頻率,需要計算相位增量。,頻率分辨率公式(Frequency Resolution),頻率分辨率計算,相位抖動DDS (Phase Dither DDS),,相位截斷DDS的頻譜,相位抖動DDS結(jié)構(gòu),隨機抖動序列發(fā)生器,不適合電子設(shè)計比賽中使用,相位抖動DDS頻譜,DDS設(shè)計擴展,生成正余弦波時,只存放1/4個周期的波形(高2比特不作為數(shù)據(jù)位,而作為波形

6、的選擇使用),優(yōu)點是大大節(jié)約存儲空間,但會導(dǎo)致計算的時間延長。使用DDS生成任意波形(三角波、方波、鋸齒波等),D/A的使用簡單介紹,D/A是數(shù)模轉(zhuǎn)換器。關(guān)于它的調(diào)用,每一種具體型號有所不同。但是基本原理都是將數(shù)字信號傳送給D/A,由D/A完成轉(zhuǎn)換,輸出模擬信號。,定時器的使用簡單介紹,定時器中斷是單片機中各種中斷中的一種。簡單的說,定時器就是自動在每次設(shè)置的時間到時之后執(zhí)行定時器中斷函數(shù)。定時器需要啟動命令啟動,并需要設(shè)置每次

7、計時的時間。,設(shè)計實例-51單片機,通過一個簡單的實例來說明設(shè)計的原理使用Atmel公司的89C51系列單片機,MSP430等單片機實現(xiàn)方法類似。目標(biāo):采用深度為256的查找表,在10KHz的數(shù)據(jù)輸出頻率下,實現(xiàn)100Hz~1KHz的正弦波輸出。,步驟1,通過MATLAB建立正余弦查找表f1 = fopen('d:\aaa.txt','w');fprintf(f1,'%.0f,'

8、;,255.5*(sin(N/256*2*pi)+1));fclose(f1),正余弦查找表,注意最前面的”code”(51用)。MSP430使用”const”。注意:表有點問題,范圍應(yīng)該是從0~256.,步驟2,計算相位增量,計算出相位增量,將相位累加器清零,定義幾個變量,通過宏定義的方式給出數(shù)據(jù)輸出時鐘和正弦波頻率,注意:上式請自己推導(dǎo),與課件中公式有點區(qū)別.,步驟3,每一次定時器中斷輸出一個值,設(shè)計實例-Xilinx公司FPG

9、A,設(shè)計一個使用100MHz時鐘產(chǎn)生10MHz正弦波設(shè)計環(huán)境使用Xilinx公司的ISE7.1使用Core Generator生成DDS的IP Core,步驟1,選擇生成新的IP Core,步驟2,在“Communication & Networking”-“Modulation”中選中”Direct Digital Synthesizer 5.0”,步驟3 設(shè)置必要參數(shù),產(chǎn)生正弦還是余弦,工作時鐘頻率,頻率分辨率,頻譜純

10、凈度,步驟4 設(shè)置輸出頻率,輸出正余弦波頻率,查找表是可寫入或系統(tǒng)自動生成,步驟5 設(shè)置可選參數(shù),是否使用抖動技術(shù),存儲器類型,流水結(jié)構(gòu),步驟6 給出統(tǒng)計報告,課后練習(xí)作業(yè),因為是很基本的東西,所以請讓一組的所有同學(xué)都參與一下,不要一個人做完。開學(xué)后各組帶隊老師會檢查該組的完成情況。,題目,使用51單片機(Keil環(huán)境)編寫DDS產(chǎn)生正弦波的程序(單片機工作頻率16MHz)基本要求:在10KHz的D/A數(shù)據(jù)輸出頻率下,產(chǎn)生100H

11、z~1KHz的正弦波輸出。要寫出完整的程序,包括定時器的初始化和調(diào)用。D/A的輸出部分不要求,可以調(diào)用函數(shù)如 Void Send_to_DA(unsigned char send_value) DA輸出函數(shù)體內(nèi)部不要求寫。正弦表深度為512.輸出正弦波頻率通過宏定義變量給入即可,不要求輸入的函數(shù)。,題目,發(fā)展要求:1、存儲表只存放1/4個周期的正弦函數(shù),完成正弦函數(shù)的輸出2、能夠同時輸出三角波和正弦波(通過一個

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論