數(shù)電課程設計--四位二進制減法器_第1頁
已閱讀1頁,還剩18頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

1、<p><b>  課程設計任務書</b></p><p> 學 院信息科學與工程學院專 業(yè)通信工程</p><p> 學生姓名班級學號</p><p> 課程設計題目四位二進制減法器 缺1101,1100 </p><p> 實踐教學要求任務:1.了解數(shù)字系統(tǒng)設計方法。2.熟悉Xinlinx ISE環(huán)

2、境。3.熟悉multisim環(huán)境。4.設計實現(xiàn)(四位二進制減法計數(shù)器且缺1100和1011 )。工作計劃與進度安排:第一周:熟悉multisim及ISE環(huán)境練習數(shù)字系統(tǒng)設計方法第二周:(1)在ISE環(huán)境中仿真實現(xiàn)(四位二進制減法計數(shù)器且缺1100和1011)并下載到FPGA目標芯片上。(2)在Multisim環(huán)境中仿真實現(xiàn)(四位二進制減法計數(shù)器且缺1100和1011)并通過虛擬儀器驗證其正確性。</p><p>

3、 指導教師:201 年 月 日專業(yè)負責人:201 年 月 日學院教學副院長:201 年 月 日</p><p><b>  一.課程設計目的</b></p><p>  1、通過《數(shù)字系統(tǒng)課程設計》的課程實驗使電子類專業(yè)的學生能深入了解集成中規(guī)模芯片的使用方法。</p><p>  2、培養(yǎng)學生的實際動手能力,并使之初步具

4、有分析,解決工程實際問題的能力。</p><p><b>  二.設計實驗框圖</b></p><p>  輸入計數(shù)脈沖CP 四位二進制 C 借高位的借位信號 </p><p>  器 減法計數(shù)器</p><p>  由題目可知,無效狀態(tài)為1100

5、、1101根據(jù)二進制遞減計數(shù)的規(guī)律,可看出狀。四位二進制減計數(shù),狀態(tài)圖如下:</p><p>  1111→1110→1011→1010→1001→1000→0111→0110→0101→0100→0011→→0010→0001→0000 →1111 缺1101→ 1100 由JK觸發(fā)器組成4位異步二進制加法計數(shù)器。</p><p><b>  三.實現(xiàn)過程</b&

6、gt;</p><p><b>  1.VHDL</b></p><p><b> ?。?)建立工程。</b></p><p>  File——〉New Project;Project Name:工程名(例:count10); Project Location:工程保存的位置(例:F:0603060x\count10);n

7、ext——>……——>next直至finish。</p><p>  (2)VHDL源程序 </p><p>  右擊xc95108-15pc84,選New Source,再選VHDL Module后,填加文件名(例:File name:v1)——〉next( Port Name中隨便填A)——〉finish。</p><p>  寫入程序,保存程序。&

8、lt;/p><p>  LIBRARY IEEE; </p><p>  USE IEEE.STD_LOGIC_1164.ALL;</p><p>  USE IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  entity sub_count is</p><p>  port(clk,

9、clr: in std_logic;</p><p>  q: out std_logic_vector(3 downto 0));</p><p>  end sub_count;</p><p>  architecture Behavioral of sub_count is</p><p>  signal count : std

10、_logic_vector(3 downto 0 );</p><p><b>  begin</b></p><p>  process(clk,clr)</p><p><b>  begin</b></p><p>  if clr='1'then</p>&l

11、t;p>  count<="1111";</p><p>  elsif clk'event and clk='1' then</p><p>  if count="1110" then</p><p>  count<="1011";</p>&

12、lt;p>  else count<=count-1;</p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  q<=count;</p>&

13、lt;p>  end Behavioral;</p><p>  雙擊Implement Design(或右鍵Run),運行程序,調(diào)試成功顯示如下:</p><p><b> ?。?)編譯及仿真</b></p><p>  回到vi.vhd界面,右鍵點擊v1 - Behavioral(v1.vhd),選New Source——〉Test

14、Bench WaveForm——〉File Name:t1( 測試波形文件名t1),next(連接v1) ——next〉——〉finish</p><p>  左側Sources for 欄內(nèi)選擇Behavioral Simulation,選擇scount ,打開Processes下的Xilinx ISE Simulator如圖</p><p>  點擊Simulate Behaviora

15、l Model(或右鍵RUN)運行仿真波形,如下</p><p> ?。?)引腳鎖定及下載</p><p>  左上側Source for選項中選擇Synthesis/Implementation,左下側Processes—〉User </p><p>  Constraints—〉Assign Package Pins分配引腳:Clk-key1,clr-sw1,q

16、3-L1,q2-L2,q1-L3,q0-L4。點擊保存,OK。</p><p>  回到ISE :Processes——〉Implement Design——〉Optional Implementation Tools——〉雙擊Lock Pins鎖定引腳</p><p>  Processes——〉Implement Design——〉雙擊Generate Programming File

17、——〉Configure Device(iMPACT),默認JTAG,finishi,v1.jed ——〉Open</p><p>  右鍵點綠——〉Progaram——〉OK,結束下載。</p><p> ?。?)仿真結果分析:</p><p>  通過此圖可以看到輸出端從15到0又跳到15,缺少了13和12,正好符合要求,說明程序正確。而且從圖中可以看出四個輸出

18、端輸出的波形,與時序圖相吻合。并且將程序下載到芯片中,實際的發(fā)光管亮滅也符合要求。</p><p><b>  2.電路設計</b></p><p><b> ?。?)設計原理</b></p><p><b>  1.狀態(tài)圖如下:</b></p><p>  1111→1110

19、→1011→1010→1001→1000→0111→0110→0101→0100→0011→→0010→0001→0000 →1111 </p><p>  2選擇觸發(fā)器,求時鐘方程、輸出方程和狀態(tài)方程</p><p>  1)選用由于JK觸發(fā)器功能齊全、使用靈活,在這里選用4個CP下降沿觸發(fā)的邊沿JK觸發(fā)器。</p><p>  2)輸出方程:Y=.</p

20、><p>  3)時鐘方程:====cp,選擇同步時序電路。</p><p><b>  4)狀態(tài)方程:</b></p><p><b>  Y的卡諾圖</b></p><p>  2.同步減法計數(shù)器次態(tài)卡諾圖 </p><p><b>  的卡諾圖</b>

21、</p><p><b>  的卡諾圖 </b></p><p><b>  的卡諾圖 </b></p><p><b>  的卡諾圖</b></p><p>  JK觸發(fā)器的驅動方程為:=J+</p><p>  變換狀態(tài)方程的形式:</p&

22、gt;<p><b>  =1*+*</b></p><p><b>  =++ = + *</b></p><p><b>  =+(+)</b></p><p><b>  = * +()*</b></p><p>  與特性方程做比較,

23、可得:</p><p><b>  ==1</b></p><p><b>  = =*</b></p><p>  = =*</p><p><b>  = =</b></p><p>  5)檢查電路能否自啟動</p>

24、<p>  將無效狀態(tài),1101,1100代到狀態(tài)方程中進行計算,結果如下:</p><p>  1101→0000(有效狀態(tài))  1100→0111(有效狀態(tài))</p><p>  可見,所設計的時序電路能夠自啟動。</p><p> ?。?)基于Multisim的設計電路</p><p>  根據(jù)所選用的觸發(fā)器和時鐘方程、輸

25、出方程、驅動方程,便可以畫出如圖2.5所示的邏輯電路圖。</p><p> ?。?)虛擬觀察的波形</p><p> ?。?)仿真結果分析 </p><p>  我的題目是四位二進制減法計數(shù)器(缺1101,1100),在multisim中,計數(shù)器也是從14直接跳變成11,其余的數(shù)正常跳變,小燈根據(jù)數(shù)字的變化有規(guī)律地亮滅。,當全部亮的時候,表示0000,向高位借位,綠

26、燈指示亮起。4位同步二進制減法計數(shù)器的工作原理是指當復位信號clr高電平到來時,就把計數(shù)器的狀態(tài)置成“1111”。</p><p>  在r復位信號無效(即此時低電平有效)的前提下,當clk的上升沿到來時,如果計數(shù)器原態(tài)是14,計數(shù)器回到11態(tài),否則計數(shù)器的狀態(tài)將減1。</p><p>  四、設計的總結與體會</p><p>  1.實驗中遇到的問題:</p

27、><p>  在用multisim做仿真波形圖時。電路連接不對,小燈不能正確的亮起,還有解決好電路問題后,邏輯分析儀出不了仿真波形。</p><p><b>  2.解決辦法:</b></p><p>  為了解決問題,通過自帶軟件的檢查功能,查到有一支路沒有連接上,然后就迎刃而解。波形問題上,調(diào)整了邏輯分析儀中clk的參數(shù)。連接好邏輯分析儀,波形

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論