2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩26頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、<p>  摘要:基于VHDL的數(shù)字系統(tǒng)設(shè)計具有設(shè)計技術(shù)齊全、方法靈活、支持廣泛等優(yōu)點,同時也是EDA技術(shù)的重要組成部分.文章用VHDL語言設(shè)計了左移法和進位節(jié)省法實現(xiàn)的兩種組合乘法器,通過功能仿真,對兩種乘法器的性能進行了比較,從而得知后者的傳輸延遲時間小,即速度較快.通過設(shè)計實例,介紹了利用VHDL語言進行數(shù)字系統(tǒng)設(shè)計的方法.</p><p>  關(guān)鍵詞:VHDL語言 左移法 進位節(jié)省法<

2、/p><p>  Abstract: Digital system design based on VHDL has complete design techniques, methods, the advantages of flexible and wide support, at the same time also is the important component of the EDA technolog

3、y. The article using VHDL language to design the left shift method and carry save method to realize the combination of two kinds of multiplier, through the function simulation, compares the performance of the two kinds o

4、f multiplier, which the latter's small transmission delay time, namely fast. Th</p><p>  Keywords: VHDL language ,left shift method ,carry save method</p><p><b>  目 錄</b></p

5、><p><b>  1.前言1</b></p><p>  2.系統(tǒng)設(shè)計總述2</p><p>  2.1 設(shè)計要求..............................................2 </p><p>  2.2系統(tǒng)組成...................................

6、........... ..2</p><p>  2.2.1 乘法器電路基本原理.............................................................3</p><p>  2.2.2 輸入數(shù)據(jù)的獲得....................................................................

7、.....3</p><p>  2.2.3 數(shù)據(jù)的選擇輸入和輸出控制...................................................3 </p><p><b>  3.設(shè)計步驟4</b></p><p>  3.1整體原理框圖:4</p><p>  3.2乘法器整體電路原

8、理圖:5</p><p>  3.3輸入模塊:5</p><p>  3.4運算模塊:5</p><p>  3.5顯示控制模塊:6</p><p>  3.6顯示模塊:7</p><p>  4.整體仿真┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉10</p><p&g

9、t;  調(diào)試中遇到的問題及解決的方法┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉11</p><p>  6.設(shè)計總結(jié)┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉12</p><p>  7.參考文獻┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉13</p><p>  附錄:程序代碼┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉┉14

10、</p><p><b>  1.前言</b></p><p>  電子EDA技術(shù)發(fā)展迅猛,逐漸在教學(xué)、科研、產(chǎn)品設(shè)計與制造等各方面都發(fā)揮著巨大的作用。在教學(xué)方面:幾乎所有理工科(特別是電子信息)類的高校都開設(shè)了EDA課程。主要是讓學(xué)生了解EDA的基本原理和基本概念、硬件描述系統(tǒng)邏輯的方法、使用EDA工具進行電子電路課程的模擬仿真實驗并在作畢業(yè)設(shè)計時從事簡單電子系統(tǒng)的

11、設(shè)計,為今后工作打下基礎(chǔ)。EDA技術(shù)不單是應(yīng)用于前期的計算機模擬仿真、產(chǎn)品調(diào)試,而且也在后期的制作、電子設(shè)備的研制與生產(chǎn)、電路板的焊接、器件的制作過程等有重要作用。可以說電子EDA技術(shù)已經(jīng)成為電子工業(yè)領(lǐng)域不可缺少的技術(shù)。 </p><p>  通過此次課程設(shè)計的鍛煉,要求學(xué)生掌握設(shè)計乘法器電路的一般設(shè)計方法,具備初步的獨立設(shè)計能力,懂得如何通過各個小程序組合成一個大程序,懂得如何通過三個控制信號進行輸入輸出的選擇

12、,懂得如何通過段選位選實現(xiàn)8位數(shù)碼管依次顯示乘積,被乘數(shù)與乘數(shù),從而提高綜合運用所學(xué)的理論知識獨立分析和解決問題的能力,培養(yǎng)學(xué)生的創(chuàng)新精神。</p><p><b>  2.系統(tǒng)設(shè)計總述</b></p><p>  設(shè)計帶符號乘法器并同時要8個數(shù)碼管顯示結(jié)果要用到的子模塊非常多,通過EDA用軟件的方式設(shè)計硬件,且其到硬件系統(tǒng)的轉(zhuǎn)換是由有關(guān)的開發(fā)軟件自動完成的。設(shè)計過程

13、中可用有關(guān)軟件進行各種仿真,整個系統(tǒng)可集成在一個芯片上,體積小、功耗低、可靠性高。</p><p><b>  2.1 設(shè)計要求</b></p><p>  設(shè)計一個能進行兩個十進制數(shù)相乘的乘法器,乘數(shù)和被乘數(shù)均小于100,通過按鍵輸入,并用數(shù)碼管顯示,顯示器顯示數(shù)字時從低位向高位前移,最低位為當前顯示位。當按下相乘鍵后,乘法器進行兩個數(shù)的相乘運算,數(shù)碼管將乘積顯示

14、出來。</p><p><b>  2.2 系統(tǒng)組成</b></p><p>  圖1乘法器硬件系統(tǒng)示意圖</p><p>  2.2 乘法器電路基本原理</p><p>  純組合邏輯構(gòu)成的乘法器雖然工作速度較快,但過于占用硬件資源,難以實現(xiàn)寬位乘法器,由加法器構(gòu)成的以時序邏輯方式設(shè)計的乘法器,具有一定的使用價值。

15、而且由FPGA構(gòu)成實驗系統(tǒng)后,可以很容易的用ASIC大型集成芯片來完成,性價比高,可操作性強。其乘法原理是:乘法通過逐項移位相加原理來完成,從被乘數(shù)的最低位開始,若為1,則乘數(shù)左移后于上一次的和相加;若為0左移后以全零相加,直至被乘數(shù)的最高位。</p><p>  2.2.2 輸入數(shù)據(jù)的獲得</p><p><b>  表1 編碼器真值表</b></p>

16、<p>  2.2.3 數(shù)據(jù)的選擇輸入和輸出控制</p><p>  當clk=1且reset=0時,當CH=0,DH=0時,輸入被乘數(shù)A,當CH=1.DH=0時,輸入乘數(shù)B,當CH=1,DH=1時,輸出乘積。 </p><p><b>  3.設(shè)計步驟</b></p><p>  3.1整體原理框圖:</p>&

17、lt;p>  圖2 乘法器系統(tǒng)框圖</p><p>  3.2乘法器整體電路原理圖:</p><p><b>  3.3輸入模塊:</b></p><p>  模塊說明:由 CH,DH控制數(shù)據(jù)的輸入,由SHUJU端輸入數(shù)據(jù),當CH,DH為0,0時輸入被乘數(shù),由輸出端A輸出,當按下“乘號鍵CH”即CH,DH為1,0時輸入乘數(shù),由輸出端B輸出

18、,當RESET為0時輸出端清零。</p><p><b>  3.4運算模塊:</b></p><p>  模塊說明:分別由AO和BO端接收被乘數(shù)和乘數(shù),由CJ端輸出帶符號位的二進制乘積結(jié)果。此模塊由三個子模塊組成:“數(shù)字與符號分離子模塊”,“乘法運算</p><p>  子模塊”及“數(shù)字與符號重組子模塊”。</p><p&

19、gt;  數(shù)字與符號分離子模塊:</p><p><b>  乘法運算子模塊:</b></p><p>  數(shù)字與符號重組子模塊:</p><p>  3.5顯示控制模塊:</p><p>  模塊說明:當CH,DH為0,0時顯示被乘數(shù),當按下“乘號鍵CH”即CH,DH為1,0時顯示乘數(shù),當按下“等號鍵DH”即CH,DH

20、為1,1時顯示乘積。 </p><p><b>  3.6顯示模塊:</b></p><p>  模塊說明:由AIN端接收要顯示的二進制內(nèi)容,輸出轉(zhuǎn)換成十進制后各位對應(yīng)的數(shù)碼管顯示代碼。此模塊由六個子模塊組成:“輸出數(shù)字與符號分離子模塊”,“進制轉(zhuǎn)換子模塊”,“消零子模塊”,“符號位數(shù)碼管顯示子模塊”,“數(shù)字位數(shù)碼管顯示子模塊”和“滅點子模塊”。 </p>

21、<p>  此模塊組成結(jié)構(gòu)如下:</p><p>  輸出數(shù)字與符號分離子模塊:</p><p><b>  進制轉(zhuǎn)換子模塊:</b></p><p>  此模塊是用來對結(jié)果進行二進制到十進制轉(zhuǎn)換的,便于在顯示數(shù)碼管上顯示。定義3個變量A1,A2,A3,并賦初值為0,從高到低判斷輸入的數(shù)據(jù)A(二進制)的各個位,首先是百位,若大于一

22、百,則A3加1,EJZ減去100;然后是十位,若大于十,則A2加1,EJZ減去10;最后是個位,直接將EJZ剩余值賦值給A1。依次將A1,A2,A3賦值給GOUT,SOUT,BOUT輸出。</p><p><b>  消零子模塊:</b></p><p>  符號位數(shù)碼管顯示子模塊:</p><p>  數(shù)字位數(shù)碼管顯示子模塊:</p&g

23、t;<p><b>  滅點子模塊:</b></p><p><b>  4.整體仿真</b></p><p><b>  仿真整體波形如下:</b></p><p>  以輸入被乘數(shù)-9,乘數(shù)12,輸出乘積-108為例:</p><p>  5. 調(diào)試中遇到的問

24、題及解決的方法</p><p>  1.在進行整體編譯時,出現(xiàn)芯片的邏輯資源不足而無法繼續(xù)編譯的問題。該問題困擾了我很長時間,一開始以為是程序不精簡,但后來在同學(xué)的提醒下,發(fā)現(xiàn)原來在編譯時沒有設(shè)置軟件的優(yōu)化選項,從而導(dǎo)致邏輯資源不足,經(jīng)設(shè)置后問題得以解決。</p><p>  2.進行仿真時,發(fā)現(xiàn)只能顯示被乘數(shù)和乘積而無法顯示乘數(shù),該問題又讓我檢查了很長時間,后來自己一步步仔細分析查找,終

25、于發(fā)現(xiàn)原來是整體電路原理圖中一根線不小心連錯了,經(jīng)改正后,顯示結(jié)果恢復(fù)正常。</p><p><b>  6.設(shè)計總結(jié):</b></p><p>  通過這次時間為兩周的EDA課程設(shè)計,使我更加深刻的認識到了EDA的對電路設(shè)計的重要性,對我們電子專業(yè)學(xué)科同學(xué)發(fā)展的重要性。同時,我也熟悉了EDA工具Quartus II軟件的應(yīng)用,VHDL硬件描述語言的編輯、編譯、調(diào)試

26、、仿真等,使電路設(shè)計過程由軟件的描述語言到集成電路圖再到功能的仿真波形。整個過程符合邏輯、通俗易懂; </p><p>  在設(shè)計過程中我也遇到了許多困難,比如:VHDL語言的編譯出現(xiàn)錯誤、仿真時波形出現(xiàn)失真或錯誤等。由于Quartus II軟件使用時,一個錯誤就會導(dǎo)致很多的錯誤出現(xiàn)。所以在編寫VHDL語言時,應(yīng)該更加的細心和準確,才能保證設(shè)計的順利開展。不過在這次設(shè)計里,我雖然遇到了這樣的困難,但我

27、細心耐心的改正編譯出現(xiàn)的錯誤知道編譯成功。使得我更加熟悉了VHDL語言的一些關(guān)鍵句,讓我掌握了VHDL 語言的編寫。</p><p>  兩周的課程設(shè)計,使我受益匪淺,讓我學(xué)到了在課堂上所學(xué)不到的知識和能力。同時,也讓我明白了實踐操作能力,獨立思考能力和解決困難問題的能力對于我們工科同學(xué)的重要性。最后,感謝學(xué)校為我們提供這樣的實踐機會,真正的提高我們的能力和綜合素質(zhì)。</p><p&

28、gt;<b>  7.參考文獻:</b></p><p>  王金明,《數(shù)字系統(tǒng)設(shè)計與Veriling HDL》.電子工業(yè)出版社2011.1</p><p>  黃仁欣.《EDA技術(shù)實用教程》.清華大學(xué)出版社,2006 </p><p> ?、?曹昕燕,周鳳臣,聶春燕,《EDA技術(shù)實驗與課程設(shè)計》.清華大學(xué)出版社,2006.5 </p

29、><p>  楊亦華,延明,《數(shù)字電路EDA入門》,北京郵電大學(xué)出版社,2003</p><p> ?、?鄒彥,莊嚴,鄒寧,王宇鴻,《EDA技術(shù)與數(shù)字系統(tǒng)設(shè)計》,電子工業(yè)出版社,2008 ⑹ 潘松 ,黃繼業(yè)《EDA技術(shù)與VHDL》,北京,清華大學(xué)出版社,2006 </p><p><b>  附錄:程序代碼</b></p><p

30、><b>  1. 輸入模塊</b></p><p>  LIBRARY IEEE; </p><p>  USE IEEE.STD_LOGIC_1164.ALL; </p><p>  USE IEEE.STD_LOGIC_ARITH.ALL; </p><p>  USE IEEE.STD_LOGIC_UNSI

31、GNED.ALL;</p><p>  ENTITY shuru IS</p><p>  PORT(CH,DH,RESET,CLK:IN STD_LOGIC;</p><p>  shuju:IN STD_LOGIC_VECTOR(4 DOWNTO 0);</p><p>  A,B:OUT STD_LOGIC_VECTOR(4 DOWNT

32、O 0));</p><p>  END shuru;</p><p>  ARCHITECTURE behave OF shuru IS</p><p><b>  BEGIN</b></p><p>  PROCESS(CH,DH,shuju,RESET,CLK)</p><p><b&

33、gt;  BEGIN</b></p><p>  IF CLK'EVENT AND CLK='1' THEN</p><p>  IF RESET='1' THEN</p><p>  A<="00000";</p><p>  B<="00000&

34、quot;;</p><p><b>  ELSE</b></p><p>  IF CH='0' AND DH='0' THEN </p><p>  A<=shuju; </p><p>  ELSIF CH='1' AND DH='0'

35、 THEN </p><p><b>  B<=shuju;</b></p><p>  ELSE NULL;</p><p><b>  END IF;</b></p><p><b>  END IF;</b></p><p><b>

36、;  END IF;</b></p><p>  END PROCESS;</p><p>  END behave;</p><p><b>  2.運算模塊</b></p><p>  LIBRARY IEEE;</p><p>  USE IEEE.STD_LOGIC_1164.

37、ALL;</p><p>  ENTITY yunsuan IS</p><p>  PORT(A0:IN STD_LOGIC_VECTOR(4 DOWNTO 0);</p><p>  B0:IN STD_LOGIC_VECTOR(4 DOWNTO 0);</p><p>  CJ:OUT STD_LOGIC_VECTOR(8 DOWN

38、TO 0));</p><p>  END yunsuan;</p><p>  ARCHITECTURE BEHAVE OF yunsuan IS</p><p>  COMPONENT sffl</p><p>  PORT(A,B:IN STD_LOGIC_VECTOR(4 DOWNTO 0);</p><p>

39、  FH:OUT STD_LOGIC;</p><p>  C,D:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));</p><p>  END COMPONENT;</p><p>  COMPONENT MUL</p><p>  PORT(E,F:IN STD_LOGIC_VECTOR(3 DOWNTO 0);<

40、;/p><p>  Q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));</p><p>  END COMPONENT;</p><p>  COMPONENT sfcz</p><p>  PORT(Q:IN STD_LOGIC_VECTOR(7 DOWNTO 0);</p><p>  FHO:I

41、N STD_LOGIC;</p><p>  CJO:OUT STD_LOGIC_VECTOR(8 DOWNTO 0)); </p><p>  END COMPONENT;</p><p>  SIGNAL C1,D1:STD_LOGIC_VECTOR(3 DOWNTO 0);</p><p>  SIGNAL FHW:STD_LOGIC

42、;</p><p>  SIGNAL OUT1:STD_LOGIC_VECTOR(7 DOWNTO 0);</p><p><b>  BEGIN</b></p><p>  U1:sffl PORT MAP(A=>A0,B=>B0,C=>C1,D=>D1,FH=>FHW);</p><p>

43、;  U2:MUL PORT MAP(E=>C1,F=>D1,Q=>OUT1);</p><p>  U3:sfcz PORT MAP(Q=>OUT1,FHO=>FHW,CJO=>CJ);</p><p>  END BEHAVE;</p><p>  3.數(shù)字與符號分離子模塊</p><p>  LIBR

44、ARY IEEE;</p><p>  USE IEEE.STD_LOGIC_1164.ALL;</p><p>  USE IEEE.STD_LOGIC_ARITH.ALL; </p><p>  USE IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  ENTITY sffl IS</p><

45、;p>  PORT(A,B:IN STD_LOGIC_VECTOR(4 DOWNTO 0);</p><p>  FH:OUT STD_LOGIC;</p><p>  C,D:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));</p><p><b>  END sffl;</b></p><p&g

46、t;  ARCHITECTURE behave OF sffl IS</p><p><b>  BEGIN</b></p><p>  C<=A(3 DOWNTO 0); </p><p>  D<=B(3 DOWNTO 0); </p><p

47、>  FH<=A(4) XOR B(4);</p><p>  END behave;</p><p><b>  4.乘法運算子模塊</b></p><p>  LIBRARY IEEE;</p><p>  USE IEEE.STD_LOGIC_1164.ALL;</p><p>

48、  USE IEEE.STD_LOGIC_ARITH.ALL;</p><p>  USE IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  ENTITY MUL IS</p><p>  PORT(E,F:IN STD_LOGIC_VECTOR(3 DOWNTO 0);</p><p>  Q:OUT STD_L

49、OGIC_VECTOR(7 DOWNTO 0));</p><p><b>  END MUL;</b></p><p>  ARCHITECTURE behave OF MUL IS</p><p>  SIGNAL Q1:STD_LOGIC_VECTOR(3 DOWNTO 0);</p><p>  SIGNAL Q

50、2:STD_LOGIC_VECTOR(4 DOWNTO 0);</p><p>  SIGNAL Q3:STD_LOGIC_VECTOR(5 DOWNTO 0);</p><p>  SIGNAL Q4:STD_LOGIC_VECTOR(6 DOWNTO 0);</p><p>  SIGNAL FF0:STD_LOGIC_VECTOR(3 DOWNTO 0);&l

51、t;/p><p>  SIGNAL FF1:STD_LOGIC_VECTOR(3 DOWNTO 0);</p><p>  SIGNAL FF2:STD_LOGIC_VECTOR(3 DOWNTO 0);</p><p>  SIGNAL FF3:STD_LOGIC_VECTOR(3 DOWNTO 0);</p><p><b>  B

52、EGIN</b></p><p>  FF0<=F(0)&F(0)&F(0)&F(0);</p><p>  FF1<=F(1)&F(1)&F(1)&F(1);</p><p>  FF2<=F(2)&F(2)&F(2)&F(2);</p><p

53、>  FF3<=F(3)&F(3)&F(3)&F(3);</p><p>  Q1<=E AND FF0;</p><p>  Q2<=(E AND FF1)&"0";</p><p>  Q3<=(E AND FF2)&"00";</p>&

54、lt;p>  Q4<=(E AND FF3)&"000";</p><p>  Q<=Q1+Q2+Q3+Q4;</p><p>  END behave;</p><p>  5.數(shù)字與符號重組子模塊</p><p>  LIBRARY IEEE;</p><p>  US

55、E IEEE.STD_LOGIC_1164.ALL;</p><p>  USE IEEE.STD_LOGIC_ARITH.ALL;</p><p>  USE IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  ENTITY sfcz IS</p><p>  PORT(Q:IN STD_LOGIC_VECTOR(

56、7 DOWNTO 0);</p><p>  FHO:IN STD_LOGIC;</p><p>  CJO:OUT STD_LOGIC_VECTOR(8 DOWNTO 0));</p><p><b>  END sfcz;</b></p><p>  ARCHITECTURE behave OF sfcz IS<

57、;/p><p><b>  BEGIN</b></p><p>  CJO<=FHO & Q; </p><p>  END behave;</p><p><b>  6.顯示控制模塊</b></p><p>  LIBRARY IEEE;</p>

58、<p>  USE IEEE.STD_LOGIC_1164.ALL;</p><p>  ENTITY xskz IS</p><p>  PORT(CH:IN STD_LOGIC;</p><p>  DH:IN STD_LOGIC;</p><p>  CLK:IN STD_LOGIC;</p><p>

59、  AIN:IN STD_LOGIC_VECTOR(4 DOWNTO 0);</p><p>  BIN:IN STD_LOGIC_VECTOR(4 DOWNTO 0);</p><p>  CJIN:IN STD_LOGIC_VECTOR(8 DOWNTO 0);</p><p>  shuchu:OUT STD_LOGIC_VECTOR(8 DOWNTO 0))

60、;</p><p><b>  END xskz;</b></p><p>  ARCHITECTURE behave OF xskz IS</p><p><b>  BEGIN</b></p><p>  PROCESS(CH,DH,CLK)</p><p><b&

61、gt;  BEGIN</b></p><p>  IF(CLK'EVENT AND CLK='1')THEN</p><p>  IF(CH='0' AND DH='0')THEN</p><p>  shuchu<=AIN(4)&"0000"&AIN(3

62、DOWNTO 0);</p><p>  ELSIF(CH='1' AND DH='0')THEN</p><p>  shuchu<=BIN(4)&"0000"&BIN(3 DOWNTO 0);</p><p><b>  ELSE</b></p><

63、;p>  shuchu<=CJIN;</p><p><b>  END IF;</b></p><p><b>  END IF;</b></p><p>  END PROCESS;</p><p>  END BEHAVE;</p><p>  7.輸出數(shù)字

64、與符號分離子模塊</p><p>  LIBRARY IEEE;</p><p>  USE IEEE.STD_LOGIC_1164.ALL;</p><p>  ENTITY outsffl IS</p><p>  PORT(AIN:IN STD_LOGIC_VECTOR(8 DOWNTO 0);</p><p>

65、  fhout:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);</p><p>  shujuout:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));</p><p>  END outsffl;</p><p>  ARCHITECTURE behave OF outsffl IS</p><p> 

66、 SIGNAL B:STD_LOGIC;</p><p><b>  BEGIN</b></p><p>  B<=AIN(8);</p><p>  PROCESS(B)</p><p><b>  BEGIN</b></p><p><b>  CASE

67、B IS</b></p><p>  WHEN'0'=>fhout<="0000";</p><p>  WHEN'1'=>fhout<="0001";</p><p>  WHEN OTHERS=>fhout<=NULL;</p>

68、<p><b>  END CASE;</b></p><p>  END PROCESS;</p><p>  shujuout<=AIN(7 DOWNTO 0);</p><p>  END behave;</p><p><b>  8.進制轉(zhuǎn)換子模塊</b></p>

69、;<p>  module zhuanhuan(clk,a,bout,sout,gout);</p><p>  input clk;</p><p>  input[7:0] a;</p><p>  output[3:0] bout,sout,gout;</p><p>  reg[3:0] A1,A2,A3,bout

70、,sout,gout;</p><p>  reg[7:0] aa;</p><p>  reg[2:0] num;</p><p>  always@(posedge clk)</p><p><b>  begin</b></p><p><b>  case(num)</

71、b></p><p><b>  0:</b></p><p><b>  begin</b></p><p>  aa[7:0]<=a;</p><p><b>  num<=1;</b></p><p><b>  A1&

72、lt;=0; </b></p><p><b>  A2<=0;</b></p><p><b>  A3<=0; </b></p><p><b>  end</b></p><p><b>  1:</b></p>

73、<p><b>  begin</b></p><p>  if(aa>=100)</p><p><b>  begin</b></p><p><b>  A3<=A3+1;</b></p><p>  aa<=aa-100;</p&g

74、t;<p><b>  end</b></p><p>  else if((aa>=10)&&(aa<100))</p><p><b>  begin</b></p><p><b>  A2<=A2+1;</b></p><p&

75、gt;  aa<=aa-10;</p><p><b>  end</b></p><p>  else if((aa>=1)&&(aa<10))</p><p><b>  begin</b></p><p><b>  A1<=aa;</b

76、></p><p><b>  aa<=0;</b></p><p><b>  end</b></p><p><b>  else</b></p><p><b>  begin</b></p><p><b&

77、gt;  num<=2;</b></p><p><b>  end</b></p><p><b>  end</b></p><p><b>  2:</b></p><p><b>  begin</b></p>&l

78、t;p>  gout<=A1; </p><p><b>  sout<=A2;</b></p><p><b>  bout<=A3;</b></p><p><b>  num<=0;</b></p><p><b>  end<

79、;/b></p><p>  default:num<=0;</p><p><b>  endcase</b></p><p><b>  end</b></p><p><b>  endmodule</b></p><p><b&

80、gt;  9.消零子模塊</b></p><p>  LIBRARY IEEE;</p><p>  USE IEEE.STD_LOGIC_1164.ALL;</p><p>  ENTITY xiaoling IS</p><p>  PORT(B:IN STD_LOGIC_VECTOR(3 DOWNTO 0);</p&g

81、t;<p>  S:IN STD_LOGIC_VECTOR(3 DOWNTO 0);</p><p>  G:IN STD_LOGIC_VECTOR(3 DOWNTO 0);</p><p>  BOUT:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);</p><p>  SOUT:OUT STD_LOGIC_VECTOR(3 DO

82、WNTO 0);</p><p>  GOUT:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));</p><p>  END xiaoling;</p><p>  ARCHITECTURE behave OF xiaoling IS</p><p><b>  BEGIN</b></p>

83、<p>  PROCESS(B,S,G)</p><p><b>  BEGIN</b></p><p>  IF(B="0000")THEN</p><p>  IF(S="0000")THEN</p><p>  IF(G="0000")THE

84、N</p><p>  BOUT<="1010";</p><p>  SOUT<="1010";</p><p>  GOUT<="1010";</p><p><b>  ELSE</b></p><p>  BOU

85、T<="1010";</p><p>  SOUT<="1010";</p><p><b>  GOUT<=G;</b></p><p><b>  END IF;</b></p><p><b>  ELSE</b>

86、</p><p>  BOUT<="1010";</p><p><b>  SOUT<=S;</b></p><p><b>  GOUT<=G;</b></p><p><b>  END IF;</b></p><

87、p><b>  ELSE</b></p><p><b>  BOUT<=B;</b></p><p><b>  SOUT<=S;</b></p><p><b>  GOUT<=G;</b></p><p><b> 

88、 END IF;</b></p><p>  END PROCESS;</p><p>  END behave; </p><p>  10.符號位數(shù)碼管顯示子模塊</p><p>  LIBRARY IEEE;</p><p>  USE IEEE.STD_LOGIC_1164.ALL;</p&

89、gt;<p>  USE IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  ENTITY fh_led IS</p><p>  PORT(fh:IN STD_LOGIC_VECTOR(3 DOWNTO 0);</p><p>  fh_show:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));<

90、;/p><p>  END fh_led;</p><p>  ARCHITECTURE behave OF fh_led IS</p><p><b>  BEGIN</b></p><p>  PROCESS(fh)</p><p><b>  BEGIN</b></p

91、><p>  CASE fh IS</p><p>  WHEN"0000"=>fh_show<="1111111";</p><p>  WHEN"0001"=>fh_show<="0111111";</p><p>  WHEN OTHER

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論