2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩17頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、<p>  襖羂蕆蟻螀羈薀袇聿羀艿蝕羅聿莁裊袁聿蒄蚈螇肈蚆蒁膆肇莆螆肂肆蒈蕿羈肅薀螄襖肄芀薇螀肅莂螃肈膃蒅薆羄膂薇螁袀膁莇薄袆膀葿衿螂腿薁螞肁膈芁袈羇膇莃蝕袃芇蒅袆蝿芆薈蠆肇芅芇蒂羃芄蒀蚇罿芃薂薀裊節(jié)節(jié)螅螁芁莄薈肀芁蒆螄羆莀蕿薆袂荿羋螂螈莈莁薅肇莇薃螀肅莆蚅蚃羈蒞蒞袈襖羂蕆蟻螀羈薀袇聿羀艿蝕羅聿莁裊袁聿蒄蚈螇肈蚆蒁膆肇莆螆肂肆蒈蕿羈肅薀螄襖肄芀薇螀肅莂螃肈膃蒅薆羄膂薇螁袀膁莇薄袆膀葿衿螂腿薁螞肁膈芁袈羇膇莃蝕袃芇蒅袆蝿芆薈蠆肇

2、芅芇蒂羃芄蒀蚇罿芃薂薀裊節(jié)節(jié)螅螁芁莄薈肀芁蒆螄羆莀蕿薆袂荿羋螂螈莈莁薅肇莇薃螀肅莆蚅蚃羈蒞蒞袈襖羂蕆蟻螀羈薀袇聿羀艿蝕羅聿莁裊袁聿蒄蚈螇肈蚆蒁膆肇莆螆肂肆蒈蕿羈肅薀螄襖肄芀薇螀肅莂螃肈膃蒅薆羄膂薇螁袀膁莇薄袆膀葿衿螂腿薁螞肁膈芁袈羇膇莃蝕袃芇蒅袆蝿芆薈蠆肇芅芇蒂羃芄蒀蚇罿芃薂薀裊節(jié)節(jié)螅螁芁莄薈肀芁蒆螄羆莀蕿薆袂荿羋螂螈莈莁薅肇莇薃螀肅莆蚅蚃羈蒞蒞袈襖羂蕆蟻螀羈薀袇聿羀艿蝕羅聿莁裊袁聿蒄蚈螇肈蚆蒁膆肇莆螆肂肆蒈蕿羈肅薀螄襖肄芀薇螀肅莂螃肈

3、膃蒅薆羄膂薇螁袀膁莇薄袆膀葿衿螂腿薁螞肁膈芁袈羇膇莃蝕袃芇蒅袆蝿芆薈蠆肇芅芇蒂羃芄蒀蚇罿芃薂薀裊節(jié)節(jié)螅螁芁莄薈肀芁蒆螄羆莀蕿薆袂荿羋螂螈莈莁薅</p><p>  DDS信號發(fā)生器設計設計實驗報告</p><p><b>  摘 要</b></p><p>  本篇報告主要介紹了用EDA設計完成直接數(shù)字頻率合成器DDS的過程。該直接數(shù)字頻率

4、合成器輸出的頻率及相位均可控制,且能輸出正弦、余弦、三角波、鋸齒波、方波五種波形,經(jīng)過轉(zhuǎn)換之后還能在示波器上顯示,在控制電路的作用下能實現(xiàn)保持、清零功能,另外還能同時顯示輸出頻率、相位控制字、頻率控制字。本設計利用QuartusII 5.0軟件進行DDS的設計,最后下載到SmartSOPC實驗系統(tǒng)中進行硬件測試。</p><p>  Abstract </p><p>  This

5、 report introduces the EDA design is completed with Direct Digital Synthesis DDS process. The direct digital frequency synthesis of the output frequency and phase can control, and can output sine, cosine, triangle wave,

6、sawtooth, square waveform five, after conversion after also displayed on the oscilloscope, in the role of the control circuit can be Implementation maintained cleared function, and also shows the output frequency, phase

7、control characters, frequency control word. This design </p><p><b>  關(guān) 鍵 詞</b></p><p>  EDA設計、直接數(shù)字頻率合成器DDS、QuartusII 5.0軟件、SmartSOPC實驗系統(tǒng)</p><p><b>  Key words</

8、b></p><p>  EDA design,Direct Digital Synthesizer DDS, QuartusII 5.0software, SmartSOPC experiment system</p><p><b>  目 錄</b></p><p><b>  摘要 關(guān)鍵詞</b><

9、;/p><p>  第1篇 多直接數(shù)字頻率合成器DDS設計要求說明</p><p>  1.1 設計基本要求¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨第4頁</p><p>  1.2 設計提高部分要求

10、68;¨¨¨¨¨¨¨¨¨¨¨¨¨¨第4頁</p><p>  第2篇 對整體電路工作原理的方案論證 ¨¨¨¨¨¨¨第5頁</p><p>  第3篇 各子模塊設計原理說明<

11、;/p><p>  3.1頻率預置與調(diào)節(jié)電路¨¨¨¨¨¨¨¨¨¨¨¨¨¨第6頁</p><p>  3.2相位累加器模塊¨¨¨¨¨¨¨¨¨¨¨&#

12、168;¨¨¨¨第6頁</p><p>  3.3波形存儲器模塊¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨第7頁</p><p>  3.4相位調(diào)節(jié)器¨¨¨¨&#

13、168;¨¨¨¨¨¨¨¨¨¨¨¨¨第9頁</p><p>  3.5波形輸出模塊¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨第

14、9頁</p><p>  3.6頻率測定模塊¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨ 第11頁</p><p>  3.7顯示模塊模塊¨¨¨¨¨¨¨¨¨

15、;¨¨¨¨¨¨¨ 第13頁</p><p>  第4篇 調(diào)試、仿真、編程下載過程¨¨¨¨¨¨¨¨¨¨第14頁</p><p><b>  第5篇 實驗總結(jié)</b></p><p

16、>  5.1 對本設計的一些改進方案¨¨¨¨¨¨¨¨¨¨¨第15頁</p><p>  5.2 實驗感想¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨&#

17、168;¨第15頁</p><p><b>  參考文獻</b></p><p>  第1篇 直接數(shù)字頻率合成器DDS設計要求說明</p><p>  1.1 設計基本要求</p><p>  利用QuartusII軟件和SmartSOPC實驗箱實現(xiàn)DDS的設計;</p><p> 

18、 DDS中的波形存儲器模塊用Altera公司的Cyclone系列FPGA</p><p>  芯片中的ROM實現(xiàn),ROM結(jié)構(gòu)配置成4096×10類型;</p><p>  具體參數(shù)要求:頻率控制字K取4位;基準頻率fc=1MHz,由</p><p>  實驗板上的系統(tǒng)時鐘分頻得到;</p><p>  系統(tǒng)具有清零和使能的功能;&l

19、t;/p><p>  利用實驗箱上的D/A轉(zhuǎn)換器件將ROM輸出的數(shù)字信號轉(zhuǎn)換為模擬信號,能夠通過示波器觀察到正弦波形;</p><p>  通過開關(guān)(實驗箱上的Ki)輸入DDS的頻率和相位控制字,并能用示波器觀察加以驗證;</p><p>  1.2 設計提高部分要求</p><p>  通過按鍵(實驗箱上的Si)輸入DDS的頻率和相位控制字,

20、以擴大頻率控制和相位控制的范圍(注意:按鍵后有消顫電路);</p><p>  能夠同時輸出正余弦兩路正交信號;</p><p>  在數(shù)碼管上顯示生成的波形頻率;</p><p>  充分考慮ROM結(jié)構(gòu)及正弦函數(shù)的特點,進行合理的配置,提高計算精度;</p><p>  設計能輸出多種波形(三角波、鋸齒波、方波等)的多功能波形發(fā)生器;<

21、;/p><p>  第2篇 對整體電路工作原理的方案論證</p><p>  DDS即Direct Digital Synthesizer數(shù)字頻率合成器,是一種基于全數(shù)字技術(shù),從相位概念出發(fā)直接合成所需波形的一種頻率合成技術(shù),是一種新型的數(shù)字頻率合成技術(shù)。具有相對帶寬大、頻率轉(zhuǎn)換時間短、分辨力高、相位連續(xù)性好等優(yōu)點,很容易實現(xiàn)頻率、相位和幅度的數(shù)控調(diào)制,廣泛應用于通訊領(lǐng)域。</p>

22、;<p>  2.1 DDS基本結(jié)構(gòu)圖</p><p>  圖1.本設計DDS基本結(jié)構(gòu)圖</p><p><b>  2.2工作原理說明</b></p><p>  本設計DDS主要由頻率預置電路、相位累加器、波形存儲器、D/A轉(zhuǎn)換器、低通濾波器組成??赏ㄟ^頻率控制字kf和相位控制字kp輸出頻率和相位均可調(diào)的正弦波、余弦波、三角波

23、、鋸齒波、方波。輸出頻率fo是對基準頻率fc的分頻,其關(guān)系如下:fo=(kf/2N)fc</p><p>  在本設計中,N取12位,基準頻率fc取1MHz,kf從0000(0)到1111(15),所以輸出頻率從0到3840Hz。</p><p>  第3篇 各子模塊原理說明</p><p>  3.1 頻率預置與調(diào)節(jié)電路</p><p>

24、  本模塊的主要功能是產(chǎn)生基準頻率fc、頻率控制字kf、相位控制字kp。</p><p>  SmartSOPC實驗箱提供的是48MHz的系統(tǒng)時鐘,需要經(jīng)過48分頻得到基準頻率fc,48分頻用一個模48計數(shù)器即可實現(xiàn)。具體電路如圖2所示。</p><p><b>  圖2.模48計數(shù)器</b></p><p>  如圖所示,用兩片二進制加法計數(shù)

25、器74163實現(xiàn)模48計數(shù)器,在74163時鐘輸入端輸入系統(tǒng)時鐘48MHz,ROC端輸出的就是基準頻頻1MHz。</p><p>  頻率控制字kf設計的是從0000到1111的四位二進制數(shù),但是為了與相位累加器相匹配,kf需要定義成12位的二進制數(shù)。所以kf的高8為都要賦零,只需要控制低四位。若直接用開關(guān)輸入需要4個開關(guān),而SmartSOPC實驗箱提供的只有8個開關(guān),為了節(jié)省開關(guān),本設計利用一個模16計數(shù)器來產(chǎn)

26、生頻率控制字kf。計數(shù)頻率采用1Hz,1秒鐘計一次數(shù),通過開關(guān)來控制使kf達到需要頻率控制字</p><p>  同樣,為了與加法器匹配,相位控制字也定義成了12位的二進制數(shù),為了方便起見,kp也設計了從0000到1111十六個狀態(tài),但是若加在低四位,當kp也從0000到0001時,相位只變化了2π/212=π/2048,肉眼很難觀測到,從0000到1111也之變化了π/2048×16=π/128,在示

27、波器上很難看到。所以本設計將4位相位控制字加在高四位,低八位賦零。這樣從0000到1111,相位變化了2π/212×27=π/16,在示波器上很容易看到的,從0000到1111相位變化了π。</p><p>  3.2 相位累加器模塊</p><p>  相位累加器的結(jié)構(gòu)圖如圖3所示。</p><p>  圖3.相位累加器結(jié)構(gòu)圖</p>&l

28、t;p>  相位累加器在基準頻率信號fc的控制下以頻率控制字kf為步長進行累加運算,產(chǎn)生所需要的頻率控制數(shù)據(jù)。相位寄存器則在時鐘的控制下把累加的結(jié)果作為波形存儲器ROM的地址,實現(xiàn)對波形存儲器ROM的尋址。當累加器加滿量時就會產(chǎn)生一次溢出,完成一個周期性的動作。這個周期也就是DDS信號的一個頻率周期。</p><p>  相位寄存器的輸出就是波形存儲ROM的地址。</p><p> 

29、 3.3 波形存儲器模塊</p><p>  用累加器的輸出作為波形存儲器的取樣地址,進行波形的相位—幅值轉(zhuǎn)換,即可在給定的時間上確定輸出的波形的抽樣幅值。以正弦波形存儲器sine_rom為例,N(12)位的尋址ROM相當于把0—2π的正弦信號離散成具有2N個樣值的序列,波形ROM有D(10)位數(shù)據(jù)位,所以設置2N個樣值的sin值以D位二進制數(shù)值固化在ROM中,這里設置D=10,所以ROM中的數(shù)據(jù)范圍應該從0到1

30、023,但是正弦值只從-1到1,所以要對其進行量化,公式如下:</p><p>  存儲數(shù)據(jù)=round(sin(n×2π/4096))×512+512</p><p>  其中,n為存儲地址,范圍是從0到4095。</p><p>  按照地址的不同可以輸出相應相位的正弦信號的幅值。相位—幅度變換原理如圖4所示。</p><

31、p>  圖4.相位-幅度變換原理圖</p><p>  同理,余弦波ROM、鋸齒波ROM、三角波ROM、方波ROM只需在ROM里存儲相應波形的幅度量化序列即可。</p><p><b>  正弦ROM的存儲</b></p><p>  余弦ROM的存儲與正弦ROM類似</p><p>  存儲數(shù)據(jù)=round(co

32、s(n×2π/4096)×512)+512</p><p>  三角波ROM。三角波的數(shù)據(jù)沒有現(xiàn)成的生成三角波的數(shù)據(jù),本設計根據(jù)圖5做出相應的函數(shù)產(chǎn)生三角波的數(shù)據(jù)表。</p><p><b>  圖5. 三角波</b></p><p>  所以三角波函數(shù)如下:</p><p>  當n∈(0,1023

33、)時,存儲數(shù)據(jù)=round(n/2)+512;</p><p>  當n∈(1023,3071)時,存儲數(shù)據(jù)= 1024-round(n/2)+512;</p><p>  當n∈(3071,4095)時,存儲數(shù)據(jù)= round(n/2)-2048+512;</p><p>  鋸齒波ROM的數(shù)據(jù)表參見juchibo.mif。鋸齒波的波形如圖6所示。</p&g

34、t;<p><b>  圖6.鋸齒波</b></p><p>  由圖6可得出鋸齒波的函數(shù)如下:</p><p>  存儲數(shù)據(jù)=round(n/4)</p><p>  方波ROM。方波的波形如圖7所示。</p><p><b>  圖7.方波</b></p><p

35、>  由圖7可得出方波的函數(shù)如下:</p><p>  最后,生成5個存有不同波形的存儲器,分別是sine_rom、cos_rom、fangbo_rom、juchibo_rom、sanjiao_rom。分別如圖8所示。</p><p>  圖8. 5個波形存儲器</p><p>  所有.mif文件的數(shù)據(jù)都來源于電子表格ROM地址數(shù)據(jù)。</p>

36、<p>  3.4 相位調(diào)節(jié)模塊</p><p>  相位控制模塊是在ROM地址信號輸入波形存儲器ROM之前,將相位控制字疊加上去,使相位都增加相應的值。這個功能用一個加法器就能實現(xiàn)。</p><p>  加法器輸出的信號再輸入ROM的地址輸入端,輸出的波形在示波器上會發(fā)生平移,即相位輸出發(fā)生了變化。</p><p><b>  3.5波形輸出

37、模塊</b></p><p>  由波形存儲器ROM輸出的是量化的數(shù)字信號,這種信號在示波器上顯示出來是離散的,所以還要經(jīng)過D/A轉(zhuǎn)換器,在經(jīng)過低通濾波器輸出才能在示波器上顯示出連續(xù)的波形。事實上經(jīng)過D/A轉(zhuǎn)換后不需要低通濾波器就已經(jīng)可以滿足我們的要求了。DDS基本工作流程圖如圖9所示。</p><p>  圖9.DDS基本工作流程圖</p><p> 

38、 本次實驗箱提供了兩片D/A轉(zhuǎn)換芯片,本設計中,第一片D/A轉(zhuǎn)換芯片始終輸出正弦波,余弦波、三角波、鋸齒波、方波通過控制電路在第二篇D/A轉(zhuǎn)換芯片選擇輸出。選擇電路的原路很簡單,四種波形由四路數(shù)據(jù),每路數(shù)據(jù)都有10位,所以用兩個開關(guān)作為地址端來控制5片雙四選一數(shù)據(jù)選擇器74153就可實現(xiàn)波形的四選一。具體電路如圖10所示:</p><p>  圖10.四種波形的選擇輸出</p><p> 

39、 圖10中,s[9..0]是余弦信號,f[9..0]是方波信號,s[9..0]是三角波信號,j[9..0]是鋸齒波信號。a1a0是地址端,對應的波形輸出如表1所示。</p><p><b>  表1 輸出波形選擇</b></p><p><b>  3.6頻率測定模塊</b></p><p>  本設計的測頻電路是通過測定

40、相位累加器輸出的ROM地址信號在1秒鐘內(nèi)溢出的次數(shù)來測定輸出頻率的。原理圖如圖11所示。</p><p>  電路波形示意圖如圖12所示。</p><p>  圖12.測頻電路波形示意圖</p><p>  單位時鐘進過二分頻之后得到周期為2秒的時鐘信號,利用這個時鐘信號,使計數(shù)器在1秒鐘的時間內(nèi)對待測信號進行計數(shù),在下一秒的時間內(nèi)對計數(shù)結(jié)果進行鎖存并輸出。這里利用

41、了反相器的演示效應,否則鎖存器的輸出永遠都會是0000,而且在編譯成功后進行仿真時會發(fā)現(xiàn),功能仿真是輸不出結(jié)果的,這就是因為軟件進行的功能仿真是理想仿真,忽略了門電路的實際延時效應,所以即使計數(shù)器輸出正確,鎖存器的也還是沒有結(jié)果輸出的,只有將總電路下載到試驗箱才能檢測測頻器是否正確。</p><p>  測頻器的電路圖如圖13所示。</p><p><b>  計數(shù)的原理圖如下:

42、</b></p><p><b>  寄存器原理圖如下:</b></p><p>  圖13.測頻器電路圖</p><p>  圖中,signal就是待測信號,接入的是相位累加器輸出的最高位out1(11)。jishuqi4096是用4片十進制加法計數(shù)器組成的同步計數(shù)器,最大可計到十進制的9999,輸出個十百千四路4位的8421BC

43、D碼,對于本設計來說輸出頻率最大是3840Hz,而設計計數(shù)器是模10000的,所以完全夠用了。寄存器是由4片74173組成的。仿真波形如圖14所示(參見波形文件cepinyi.bdf)。</p><p>  圖14.測頻器仿真波形圖</p><p>  其中,g、s、b、q是寄存器的輸出,gg、ss、bb、qq是計數(shù)器的輸出,可見計數(shù)器的輸出是沒問題的,但是寄存器始終沒有輸出,這就是上面說

44、到的功能仿真的缺陷。</p><p><b>  3.7顯示模塊</b></p><p><b>  此處為譯碼顯示部分</b></p><p>  第4篇 調(diào)試、仿真、編程下載過程</p><p>  實驗過程中沒做完一個模塊添加一項功能之后都要進行編譯仿真,在確定各子模塊的功能都正確之后組合整

45、體電路(參見原理圖文件dds.bdf),并對其進行綜合編譯,編譯成功之后就可以準備下載到SmartSOPC實驗箱了。在下載之前要注意將未使用的管腳設置為三態(tài)輸入。之后就可以給各輸入端輸出端分配管腳,其中輸入端48MHz分配到實驗箱的系統(tǒng)時鐘48MHz的脈沖,輸入端en、reset、kf、kp、a0、a1分別分配到實驗箱的手動開關(guān)KEY1到KEY6,輸出端abcdefg分配到顯示管七段碼,輸出端s[7..0]分配到數(shù)碼管的片選端DIG7到

46、DIG0。</p><p>  之后下載到SmartSOPC實驗箱后對各項功能進行驗證和調(diào)試。</p><p><b>  第5篇 實驗總結(jié)</b></p><p>  5.1 對本設計的一些改進方案</p><p>  在波形選擇模塊,可以把4種波形的數(shù)據(jù)存入1個ROM中,那么這個ROM就要設置成16384×

47、;10的。這樣通過開關(guān)來控制地址端,當控制端加1時,ROM的地址段加4096,跳入到另一個波形的數(shù)據(jù)存儲區(qū),輸出就會使另一種波形。但是這樣需要對地址輸入信號進行處理,ROM地址輸入信號要定義成48位的二進制數(shù)??刂贫思?,實際上就是12位的地址信號向高位移動12位。</p><p><b>  5.2 實驗感想</b></p><p>  通過本次為期一周的dds課程

48、設計完成了一個簡單的直接數(shù)字頻率合成器,實驗我更加熟悉了QuartusII 5.0軟件的使用,包括電路圖編輯、虛擬儀器儀表的使用和掌握常見電路分析方法還能夠運用QuartusII 5.0軟件對電路進行設計和性能分析,基本上達到了這次EDA實驗的實驗目的在實驗的過程中遇到了很多問題最終在老師和同學的幫助和自己的思考之下解決。</p><p>  在實驗中也遇到了很多問題,給自己感悟最深的是,必須有一個嚴謹?shù)淖黠L也端

49、正的態(tài)度,在實驗中碰到的問題,很大一部分都是由于自己不細心而導致的。也意識到自己的專業(yè)知識的匱乏,實驗中需要用到的很多知識都是臨時查找與學習的,知識略知一二,在后面的學習中需要更加努力。 </p><p><b>  參考文獻</b></p><p>  蔣立平編著.《數(shù)字電路》.南京理工大學出版社</p><p>  南京理工大學電子技術(shù)中

50、心編.《EDA設計實驗指導書》</p><p>  付文紅、花漢兵編著.《EDA技術(shù)與實驗》.機械工業(yè)出版社</p><p>  王毅評、張振榮編著.《VHDL編程與仿真》.北京人民郵電出版社</p><p>  潘松、黃繼業(yè)編著.《EDA技術(shù)實用教程》.北京科學出版社</p><p>  鄒彥編著.《EDA技術(shù)與數(shù)字系統(tǒng)設計》.北京電子工業(yè)

51、出版社</p><p>  譚會生、瞿遂春等編.《EDA技術(shù)綜合應用實例與分析》.西安電子科技大學出版社</p><p>  膄薈螇螄肀薇蒆羀肆薆蠆螃莄薅螁肈芀薄袃袁膆薃薃肆肂膀蚅衿羈艿螇肅芇羋蕆袈膃芇蕿肅腿芆螂羆肅芆襖蝿莄芅薄羄芀芄蚆螇膆芃螈羂肂莂蒈螅羈莁薀羈芆莁蚃螄節(jié)莀裊聿膈荿薅袂肄莈蚇肇羀莇蝿袀艿莆葿肆膅蒅薁袈肁蒅蚃肄羇蒄螆袇蒞蒃薅蠆芁蒂蚈羅膇蒁螀螈肅蒀蒀羃罿葿薂螆羋蕿蚄羂膄薈螇螄肀

52、薇蒆羀肆薆蠆螃莄薅螁肈芀薄袃袁膆薃薃肆肂膀蚅衿羈艿螇肅芇羋蕆袈膃芇蕿肅腿芆螂羆肅芆襖蝿莄芅薄羄芀芄蚆螇膆芃螈羂肂莂蒈螅羈莁薀羈芆莁蚃螄節(jié)莀裊聿膈荿薅袂肄莈蚇肇羀莇蝿袀艿莆葿肆膅蒅薁袈肁蒅蚃肄羇蒄螆袇蒞蒃薅蠆芁蒂蚈羅膇蒁螀螈肅蒀蒀羃罿葿薂螆羋蕿蚄羂膄薈螇螄肀薇蒆羀肆薆蠆螃莄薅螁肈芀薄袃袁膆薃薃肆肂膀蚅衿羈艿螇肅芇羋蕆袈膃芇蕿肅腿芆螂羆肅芆襖蝿莄芅薄羄芀芄蚆螇膆芃螈羂肂莂蒈螅羈莁薀羈芆莁蚃螄節(jié)莀裊聿膈荿薅袂肄莈蚇肇羀莇蝿袀艿莆葿肆膅蒅薁袈肁

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論