版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、<p> 存檔資料 成績(jī): </p><p> 課 程 設(shè) 計(jì) 報(bào) 告 書(shū)</p><p> 所屬課程名稱 EDA技術(shù)課程設(shè)計(jì) </p><p> 題 目 八路搶答器 </p>
2、;<p> 分 院 電 信 分 院 </p><p> 專業(yè)班級(jí) 10電子信息工程1班 </p><p> 學(xué) 號(hào) </p><p> 學(xué)生姓名 <
3、/p><p> 指導(dǎo)教師 </p><p> 2013年01月09日</p><p><b> 目 錄 </b></p><p> 第一章 課程設(shè)計(jì)題目及設(shè)計(jì)目的3</p><p> 1.1課程設(shè)計(jì)題目3</p>&
4、lt;p> 1.2課程設(shè)計(jì)目的3</p><p> 第二章 課程設(shè)計(jì)題目描述及具體要求4</p><p> 2.1搶答器的工作流程5</p><p> 2.2 搶答器的硬件框圖6</p><p> 2.3 搶答器的外圍電路7</p><p> 2.3.1 電源部分7</p>
5、<p> 2.3.2 晶振部分7</p><p> 2.4 譯管腳設(shè)置8</p><p> 第三章 設(shè)計(jì)思路與系統(tǒng)結(jié)構(gòu)10</p><p> 3.1 八路搶答器控制系統(tǒng)的設(shè)計(jì)思路與功能10</p><p> 3.2 搶答器的工作原理簡(jiǎn)介10</p><p> 3.3 搶答器的工作流程
6、11</p><p> 第四章系統(tǒng)的軟件設(shè)計(jì)13</p><p> 4.1 Quartus Ⅱ開(kāi)發(fā)平臺(tái)13</p><p> 4.2 自頂向下設(shè)計(jì)方法14</p><p> 第五章 設(shè)計(jì)所用芯片介紹15</p><p> 5.1 芯片EPM240T100C5N簡(jiǎn)介15</p><
7、;p> 5.2 74HC04N芯片介紹16</p><p> 5.3 CD4060芯片介紹17</p><p> 第六章 基于VHDL的實(shí)體設(shè)計(jì)18</p><p> 6.1程序設(shè)計(jì)18</p><p> 6.1.1鎖存程序:20</p><p> 6.1.2 搶答成功揚(yáng)聲器發(fā)聲程序:
8、21</p><p> 6.1.3數(shù)碼管顯示管22</p><p> 6.2 編譯管腳設(shè)置24</p><p><b> 6.3仿真24</b></p><p><b> 個(gè)人心得26</b></p><p><b> 參考文獻(xiàn)27</b&
9、gt;</p><p> 第一章 課程設(shè)計(jì)題目及設(shè)計(jì)目的</p><p><b> 1.1課程設(shè)計(jì)題目</b></p><p><b> 八路搶答器的設(shè)計(jì)</b></p><p><b> 1.2課程設(shè)計(jì)目的</b></p><p> 1、通過(guò)
10、課程設(shè)計(jì)使學(xué)生能熟練掌握一種EDA軟件(MAX+plus2)的使用方法,能熟練進(jìn)行設(shè)計(jì)輸入、編譯、管腳分配、下載等過(guò)程,為以后進(jìn)行工程實(shí)際問(wèn)題的研究打下設(shè)計(jì)基礎(chǔ)。</p><p> 2、通過(guò)課程設(shè)計(jì)使學(xué)生能利用EDA軟件(MAX+plus2)進(jìn)行至少一個(gè)電子技術(shù)綜合問(wèn)題的設(shè)計(jì),設(shè)計(jì)輸入可采用圖形輸入法或VHDL硬件描述語(yǔ)言輸入法。</p><p> 3、通過(guò)課程設(shè)計(jì)使學(xué)生初步具有分析、
11、尋找和排除電子電路中常見(jiàn)故障的能力。</p><p> 4、通過(guò)課程設(shè)計(jì)使學(xué)生能獨(dú)立寫(xiě)出嚴(yán)謹(jǐn)?shù)?、有理論根?jù)的、實(shí)事求是的、文理通順的字跡端正的課程設(shè)計(jì)報(bào)告。</p><p> 第二章 課程設(shè)計(jì)題目描述及具體要求</p><p> 搶答器接通電源后,主持人將開(kāi)關(guān)置于“清除”位置,搶答器處于禁止工作狀態(tài),編號(hào)顯示器滅燈。搶答開(kāi)始時(shí),主持人將控制開(kāi)關(guān)撥到“開(kāi)始”位置
12、,揚(yáng)聲器給出聲響提示,搶答器處于工作狀態(tài),這時(shí),搶答器完成以下工作:</p><p> ?。?)優(yōu)先編碼器電路立即分辨出搶答者編號(hào),并由鎖存器進(jìn)行鎖存,然后由譯碼顯示電路顯示編號(hào);</p><p> ?。?)揚(yáng)聲器發(fā)出短暫聲響,提醒主持人注意;</p><p> (3)控制電路要對(duì)輸入編碼電路進(jìn)行封鎖,避免其他選手再次進(jìn)行搶答;(4)當(dāng)選手將問(wèn)題回答完畢,主持人操
13、作計(jì)分開(kāi)關(guān),計(jì)分電路采用十進(jìn)制加/減計(jì)數(shù)器、數(shù)碼管顯示。本輪搶答完畢,主持人操作控制開(kāi)關(guān),使系統(tǒng)回復(fù)到禁止工作狀態(tài),以便進(jìn)行下一輪搶答。</p><p><b> 設(shè)計(jì)框圖</b></p><p><b> 加分 減分</b></p><p><b> 搶答器總體框圖</b></p>
14、<p> 由主體電路和擴(kuò)展電路兩部分構(gòu)成,主體電路完成基本的搶答功能,即開(kāi)始搶答后,當(dāng)選手按動(dòng)搶答鍵時(shí),能顯示選手的編號(hào),同時(shí)能封鎖輸入電路,禁止其他選手搶答。擴(kuò)展電路完成各選手的得分顯示功能。</p><p> 2.1搶答器的工作流程</p><p><b> 搶答器的工作流程</b></p><p> 搶答器的工作流程
15、如圖3.1所示:主持人按鍵、LED燈亮,選手開(kāi)始搶答,某位選手按鍵搶答,LED燈滅,數(shù)碼顯示管顯示選手號(hào)碼,搶答結(jié)束后由主持人按下復(fù)位鍵,下一輪搶答開(kāi)始。</p><p> 其中搶答器的基本工作原理:在搶答競(jìng)賽或呼叫時(shí),有多個(gè)信號(hào)同時(shí)或不同時(shí)送入主電路中,搶答器內(nèi)部的寄存器工作,并識(shí)別、記錄第一個(gè)號(hào)碼,其他選手搶答無(wú)效,在整個(gè)搶答器工作過(guò)程中,顯示電路等還要根據(jù)現(xiàn)場(chǎng)的實(shí)際情況向外電路輸出最優(yōu)先的信號(hào),數(shù)碼顯示管
16、顯示出搶答成功選手的號(hào)碼。</p><p> 2.2 搶答器的硬件框圖</p><p> 搶答器是由鎖存器、編碼器、數(shù)碼顯示器、led燈顯示器所組成的,其中邏輯設(shè)計(jì)結(jié)構(gòu)如圖3.2所示,八路搶答器系統(tǒng)的功能組成,主要由鎖存模塊、編碼模塊、數(shù)碼顯示模塊、LED燈提示模塊等四個(gè)模塊組成。八路搶答器的主要系統(tǒng)由EPM240T100C5N來(lái)控制,主要是燒寫(xiě)程序到EPM240T100C5N中來(lái)說(shuō)實(shí)
17、現(xiàn)上述四個(gè)模塊的功能。</p><p> 另外,硬件結(jié)構(gòu)即物理結(jié)構(gòu)如圖3.3所示,其中主要八路搶答器的系統(tǒng)EPM240T100C5N0控制,其他的按鍵模塊、LED燈提示、LED數(shù)碼顯示模塊均為輔助模塊通過(guò)電子硬件電路實(shí)現(xiàn)。</p><p><b> 系統(tǒng)結(jié)構(gòu)框圖</b></p><p><b> 系統(tǒng)的硬件框圖</b>
18、;</p><p> 2.3 搶答器的外圍電路</p><p> 2.3.1 電源部分</p><p> EPM240芯片的工作電壓是3.3V,為了得到穩(wěn)定的3.3V,用IN4007,7805,LM1117芯片來(lái)將外部電源9V穩(wěn)定為3.3V,其電路設(shè)計(jì)如圖3.4所示:</p><p><b> 電源部分的設(shè)計(jì)圖</b&
19、gt;</p><p> 2.3.2 晶振部分</p><p> 本設(shè)計(jì)采用的是CPLD芯片EPM240T100C5N,外部晶振為12MHz,用4060芯片將頻率分為2MHZ,其電路設(shè)計(jì)如圖3.5所示:</p><p><b> 晶振設(shè)計(jì)電路圖</b></p><p><b> 2.4 譯管腳設(shè)置<
20、;/b></p><p> 程序輸入完成后然后選擇用于編程的目標(biāo)芯片:選擇菜單 “Assign”→“Device”,窗口中的Device Family是器件序列欄,先在此欄中選擇MAX7000S。然后選擇EPM240T100C5N 器件,按OK,就可以進(jìn)行編譯了,經(jīng)“MAX+PLUSE II”中的“Compiler”菜單編譯,以驗(yàn)證設(shè)計(jì)結(jié)果是否符合要求,如果有問(wèn)題,則返回原設(shè)計(jì)文件再次進(jìn)行修改,直到正確為
21、止。</p><p> 圖3.5 EPM240T100C5N</p><p> 編譯無(wú)誤后經(jīng)“MAX+PLUSE II”中的“FLOORPLAN EDITOR”菜單,進(jìn)行輸入、輸出管腳設(shè)置,將元件端口放置到EPM240T100C5N芯片適當(dāng)?shù)腎/O口,并用手工調(diào)整按圖所示設(shè)置。</p><p> 第三章 設(shè)計(jì)思路與系統(tǒng)結(jié)構(gòu)</p><p&g
22、t; 3.1 八路搶答器控制系統(tǒng)的設(shè)計(jì)思路與功能</p><p> 搶答器同時(shí)供8名選手或8個(gè)代表隊(duì)比賽,分別用8個(gè)按鈕[a1]~[a8]。設(shè)置一個(gè)系統(tǒng)清除和搶答控制開(kāi)關(guān)Reset, 該開(kāi)關(guān)由主持人控制。搶答器具有鎖存與顯示功能。即選手按動(dòng)按鈕,鎖存相應(yīng)的編號(hào),揚(yáng)聲器發(fā)出聲響提示,數(shù)碼顯示選手號(hào)碼。其他人再按鍵,系統(tǒng)進(jìn)行了優(yōu)先鎖存,不再響應(yīng),優(yōu)先搶答選手的編號(hào)一直保持到主持人將系統(tǒng)清除為止,下一次搶答開(kāi)始。&
23、lt;/p><p> 擴(kuò)展功能:該電路具有犯規(guī)報(bào)警功能。當(dāng)主持人未按下開(kāi)關(guān)開(kāi)始搶答前,參賽選手若按下開(kāi)關(guān),則搶答系統(tǒng)發(fā)出蜂鳴聲報(bào)警并顯示犯規(guī)組別。</p><p> 3.2 搶答器的工作原理簡(jiǎn)介</p><p> 如圖2-1所示為搶答器的結(jié)構(gòu)框圖,它由主體電路和擴(kuò)展電路兩部分組成。主體電路完成基本的搶答功能,即開(kāi)始搶答后,當(dāng)選手按動(dòng)搶答鍵時(shí),能顯示選手的編號(hào),同
24、時(shí)能封鎖輸入電路,禁止其他選手搶答。擴(kuò)展電路完成檢測(cè)數(shù)碼管工作情況。其工作原理為:接通電源后,主持人將開(kāi)關(guān)撥到"清除"狀態(tài),搶答器處于禁止?fàn)顟B(tài),編號(hào)顯示器滅燈,定時(shí)器顯示設(shè)定時(shí)間;主持人將開(kāi)關(guān)置于"開(kāi)始"狀態(tài),宣布"開(kāi)始"搶答器工作。定時(shí)器倒計(jì)時(shí),揚(yáng)聲器給出聲響提示。選手在定時(shí)時(shí)間內(nèi)搶答時(shí),搶答器完成:優(yōu)先判斷、編號(hào)鎖存、編號(hào)顯示、揚(yáng)聲器提示。當(dāng)一輪搶答之后,定時(shí)器停止、禁止二
25、次搶答、定時(shí)器顯示剩余時(shí)間。如果再次搶答必須由主持人再次操作"清除"和"開(kāi)始"狀態(tài)開(kāi)關(guān)。</p><p> 3.3 搶答器的工作流程</p><p> 搶答器的基本工作原理:在搶答競(jìng)賽或呼叫時(shí),有多個(gè)信號(hào)同時(shí)或不同時(shí)送入主電路中,搶答器內(nèi)部的寄存器工作,并識(shí)別、記錄第一個(gè)號(hào)碼,同時(shí)內(nèi)部的定時(shí)器開(kāi)始工作,記錄有關(guān)時(shí)間并產(chǎn)生超時(shí)信號(hào)。在整個(gè)搶答器工
26、作過(guò)程中,顯示電路、語(yǔ)音電路等還要根據(jù)現(xiàn)場(chǎng)的實(shí)際情況向外電路輸出相應(yīng)信號(hào)。搶答器的工作流程分為、系統(tǒng)復(fù)位、正常流程、犯規(guī)流程等幾部分,如圖2-2所示。</p><p> 第四章系統(tǒng)的軟件設(shè)計(jì)</p><p> 4.1 Quartus Ⅱ開(kāi)發(fā)平臺(tái)</p><p> Quartus II是Altera公司的第四代可編程邏輯器件集成開(kāi)發(fā)環(huán)境,提供從設(shè)計(jì)輸入到器件編程
27、的全部功能。Quartus II可以產(chǎn)生并識(shí)別EDIF網(wǎng)表文件、VHDL網(wǎng)表文件,為其他 EDA 工具提供了方便的接口;可以在Quartus II集成環(huán)境中自動(dòng)運(yùn)行其他 EDA 工具。Quartus II軟件的開(kāi)發(fā)流程可概括為以下幾步:設(shè)計(jì)輸入、設(shè)計(jì)編譯、設(shè)計(jì)時(shí)序分析、設(shè)計(jì)仿真和器件編程,具有FPGA和CPLD芯片設(shè)計(jì)的所有階段的解決方案。[6]</p><p> 1.設(shè)計(jì)輸入Quartus II軟件在File
28、菜單中提供“New Project Wizard”向?qū)?,引?dǎo)設(shè)計(jì)者完成項(xiàng)目的創(chuàng)建。當(dāng)設(shè)計(jì)者需要向項(xiàng)目中添加新的VHDL文件時(shí),可以通過(guò)“New”選項(xiàng)選擇添加。</p><p> 2.設(shè)計(jì)編譯Quartus II編譯器完成的功能有:檢查設(shè)計(jì)錯(cuò)誤、對(duì)邏輯進(jìn)行綜合、提取定時(shí)信息、在指定的Altera系列器件中進(jìn)行適配分割,產(chǎn)生的輸出文件將用于設(shè)計(jì)仿真、定時(shí)分析及器件編程。</p><p>
29、3.設(shè)計(jì)定時(shí)分析單擊Project菜單下的“Timing Settings”選項(xiàng),可以方便地完成時(shí)間參數(shù)的設(shè)定。Quartus II軟件的時(shí)序分析功能在編譯過(guò)程結(jié)束之后自動(dòng)運(yùn)行,并在編譯報(bào)告的Timing Analyses文件夾中顯示。</p><p> 4.設(shè)計(jì)仿真Quartus II軟件允許設(shè)計(jì)者使用基于文本的向量文件(.vec)作為仿真器的激勵(lì),也可以在Quartus II軟件的波形編輯器中產(chǎn)生向量波形文
30、件(.vwf)作為仿真器的激勵(lì)。</p><p> 5.器件編程設(shè)計(jì)者可以將配置數(shù)據(jù)通過(guò)MasterBlaster或ByteBlasterMV通信電纜下載到器件當(dāng)中,通過(guò)被動(dòng)串行(Passive Serial)配置模式或JTAG模式對(duì)器件進(jìn)行配置編程,還可以在JTAG模式下給多個(gè)器件進(jìn)行編程。[7]</p><p> 4.2 自頂向下設(shè)計(jì)方法</p><p>
31、 本設(shè)計(jì)采用自頂向下的設(shè)計(jì)方法來(lái)完成搶答器系統(tǒng)。所謂自頂向下的設(shè)計(jì)方法,是指在設(shè)計(jì)過(guò)程中,從數(shù)字系統(tǒng)的最高層次出發(fā),進(jìn)行仿真驗(yàn)證,再將系統(tǒng)劃分成各個(gè)子模塊。然后再對(duì)各個(gè)子模塊進(jìn)行仿真驗(yàn)證,合格之后經(jīng)EDA開(kāi)發(fā)平臺(tái)由計(jì)算機(jī)自動(dòng)綜合成門(mén)級(jí)電路,進(jìn)行門(mén)級(jí)仿真驗(yàn)證。自頂向下的方法強(qiáng)調(diào)在每個(gè)層次進(jìn)行仿真驗(yàn)證,以保證系統(tǒng)性能指標(biāo)的實(shí)現(xiàn),以便于在早期發(fā)現(xiàn)和糾正設(shè)計(jì)中出現(xiàn)的錯(cuò)誤。[8]</p><p> 自頂向下設(shè)計(jì)方法有一些
32、突出的優(yōu)點(diǎn):</p><p> 1.適應(yīng)于復(fù)雜和大規(guī)模的數(shù)字系統(tǒng)的開(kāi)發(fā),便于層次式、結(jié)構(gòu)化的設(shè)計(jì)思想。</p><p> 2.各個(gè)子系統(tǒng)可以同時(shí)并發(fā),縮短設(shè)計(jì)周期。</p><p> 3.對(duì)于設(shè)計(jì)的系統(tǒng)進(jìn)行層層分解,且在每一層次進(jìn)行仿真驗(yàn)證,設(shè)計(jì)錯(cuò)誤可以在早期發(fā)現(xiàn),提高了設(shè)計(jì)的正確性。</p><p> 4.邏輯綜合之前的設(shè)計(jì)工作與具
33、體的實(shí)現(xiàn)工藝、器件等無(wú)關(guān),因此,設(shè)計(jì)的可移植性良好。</p><p> 第五章 設(shè)計(jì)所用芯片介紹</p><p> 5.1 芯片EPM240T100C5N簡(jiǎn)介</p><p> MAX II器件系列簡(jiǎn)介Altera公司最新的MAX II系列,有史以來(lái)成本最低的CPLD,結(jié)合了FPGA和CPLD的優(yōu)點(diǎn),充分利用了4輸入LUT體系結(jié)構(gòu)的性能和密度優(yōu)勢(shì),并且具有性價(jià)
34、比較高的非易失性特性。用戶可以利用MAX II CPLD將大量控制邏輯集成在單個(gè)器件中,從而降低了系統(tǒng)成本。[3]</p><p> MAX II器件系列是一種非易失性、即用性可編程邏輯系列,它采用了一種突破性的新型CPLD架構(gòu)。這種新型架構(gòu)的成本是原先MAX II器件的一半,功耗是其十分之一,密度是其四倍,性能卻是其兩倍。這些超級(jí)性能是在提供了所有MAX系列CPLD先進(jìn)特性的架構(gòu)的基礎(chǔ)上,根據(jù)Altera專家
35、們的意見(jiàn)而重新采用基于查找表的架構(gòu)而得到的。這種基于查找表的架構(gòu)在最小的I/O焊盤(pán)約束的空間內(nèi)提供了最多的邏輯容量。因此,MAX II CPLD是所有CPLD系列產(chǎn)品中成本最低、功耗最小和密度最高的器件?;诔杀緝?yōu)化的0.18微米6層金屬Flash工藝,MAX II器件系列具有CPLD所有的優(yōu)點(diǎn),例如非易失性、即用性、易用性和快速傳輸延時(shí)性。以滿足通用性,低密度邏輯應(yīng)用為目標(biāo),MAX II器件成為接口橋接、I/O擴(kuò)展、器件配置和上電順序
36、等應(yīng)用最理想的解決方案。除這些典型的CPLD應(yīng)用之外,MAX II器件還能滿足大量從前在FPGA、ASSP和標(biāo)準(zhǔn)邏輯器件中實(shí)現(xiàn)的低密度可編程邏輯需求。MAX II器件提供的密度范圍從240到2210個(gè)邏輯單元(LE),最多達(dá)272個(gè)用戶I/O管腳。[4]</p><p> 主芯片采用ALTERA MAX II系列的EPM240T100C5N(相當(dāng)于8650門(mén)CPLD,容量是以前的EPM7128的兩倍,并且可以燒
37、寫(xiě)至少10萬(wàn)次以上)。MAX II CPLD體系結(jié)構(gòu),在所有CPLD系列中單位I/O成本最低,功耗最低。MAX II運(yùn)用了低功耗的工藝技術(shù),和前一代MAX器件相比,成本降低了一半,功率降至十分之一,容量增加了四倍,性能增加了兩倍。標(biāo)準(zhǔn)JTAG下載口,防反插設(shè)計(jì)??山覤yteBlasterII和USB-Blaster下載電纜。開(kāi)發(fā)板上提供的有源晶振頻率為50MHz。電源部分采用外接電源和USB供電兩種形式,并有電源控制開(kāi)關(guān)。8個(gè)貼片LED
38、燈,可顯示一個(gè)字節(jié)的數(shù)據(jù)狀態(tài)。4位一體7段數(shù)碼管,8位撥碼開(kāi)關(guān),1602字符液晶接口,8×8LED點(diǎn)陣,蜂鳴器,3×4矩陣鍵盤(pán),一組模擬交通燈,復(fù)位按鍵,PS/2接口,1RS232串口,兩組預(yù)留接口(U11/U12),I/O引出擴(kuò)展口(提供給用戶自定義各類功能)。</p><p> EPM240T100C5N的芯片參數(shù):宏單元數(shù):192,輸入/輸出線數(shù):80,傳播延遲時(shí)間:5.9ns,整體時(shí)
39、鐘設(shè)定時(shí)間:2.7ns,頻率:201.1MHz,電源電壓范圍:2.375V to 2.625V, 3V to 3.6V,工作溫度范圍:0°C to +85°C ,針腳數(shù):100,封裝類型:TQFP,工作溫度最低:0°C,工作溫度最高:85°C,邏輯芯片功能:CPLD,邏輯芯片基本號(hào):EPM240T,可編程邏輯類型:CPLD ,輸入/輸出接口標(biāo)準(zhǔn):LVTTL, LVCMOS, PCI。</p&
40、gt;<p> 5.2 74HC04N芯片介紹</p><p> 74HC04N是六反相器,高速CMOS器件,低功耗肖特基的TTL(LSTTL)電路,74HC04的外形和管腳排列,A是輸入端,Y是輸出端,1A對(duì)應(yīng)1Y、2A對(duì)應(yīng)2Y……,依此類推。使用時(shí)把輸入信號(hào)送到A,相應(yīng)的輸出端Y就會(huì)輸出反相的電壓信號(hào)。</p><p> 74HC04是CMOS 六反向器數(shù)字IC。
41、雙列直插14腳。其突出優(yōu)點(diǎn)是可在2~6V電壓下工作,并且很適合在低壓下工作,不象4000系列CMOS電路。雖可用于3~15V電源,但在5V以下的輸出能力已大為減弱。這次我以2.3V為電源,試驗(yàn)74HC04,電路如圖。當(dāng)開(kāi)關(guān)按下后,輸出電平為H,電壓達(dá)2V(輸出電流2mA)。說(shuō)明74HC04的輸出能力遠(yuǎn)超過(guò)4000電路。經(jīng)過(guò)約100s后輸出由H變?yōu)長(zhǎng),為0V。說(shuō)明74HC04電路同樣也具備4000的高輸入阻抗。極其適合CMOS電路應(yīng)用。為
42、了驗(yàn)證74HC04的耗電量,我將6個(gè)反相器的輸入端全部接GND或Vcc,結(jié)果此時(shí)用100uA表已測(cè)不出耗電,說(shuō)明耗電<=0.2uA。只有當(dāng)I.C輸出一定電流時(shí)她的耗電才相應(yīng)加大。從這次試驗(yàn)說(shuō)明74HC系列I.C是4000系列的改進(jìn)型替代產(chǎn)品,性能遠(yuǎn)超4000系列。除具4000的基本特色以外還有可低壓工作,輸出能力強(qiáng)和高頻特性好(可工作至43MHZ)的特點(diǎn)。價(jià)格上74HC04并不貴,與4000差不多。[5]</p>&
43、lt;p> 5.3 CD4060芯片介紹</p><p> CD4060芯片為14進(jìn)制二進(jìn)制串行計(jì)數(shù)器/分頻器,它由一振蕩器和14級(jí)二進(jìn)制串行計(jì)數(shù)器位組成,振蕩器的結(jié)構(gòu)可以是RC或者是晶振電路,CR為高電平時(shí),計(jì)數(shù)器清零且振蕩器使用無(wú)效,所有的計(jì)數(shù)器位均為主從觸發(fā)器,在CP1和CP0的下降沿計(jì)數(shù)器以二進(jìn)制進(jìn)行計(jì)數(shù)。在時(shí)鐘脈沖線上使用斯密特觸發(fā)器對(duì)時(shí)鐘的上升和下降時(shí)間無(wú)限制。</p>&l
44、t;p> 引出端功能符號(hào):CP1:時(shí)鐘輸入端;CP0:時(shí)鐘輸出端; Q4-Q10\Q11-Q14:計(jì)數(shù)器輸出端;/Q14:第14級(jí)計(jì)數(shù)器反相輸出端;VDD:正電源;VSS:地。</p><p> 第六章 基于VHDL的實(shí)體設(shè)計(jì)</p><p> MAX+plusⅡ是美國(guó)ALTERA公司提供的FPGA/CPLD開(kāi)發(fā)集成環(huán)境,該公司是世界最大的可編程邏輯器件供應(yīng)商之一。MAX+pl
45、usⅡ界面友好,使用便捷,被譽(yù)為業(yè)界最容易的EDA軟件。下面詳細(xì)論述使用MAX+plusⅡ軟件設(shè)計(jì)8路搶答器控制系統(tǒng)的過(guò)程。</p><p> 本設(shè)計(jì)采用用Altera公司MAX7000S系列的EPM7128SLC84-15來(lái)實(shí)現(xiàn)。(校EDA實(shí)驗(yàn)室EDA-V實(shí)驗(yàn)箱中所用CPLD芯片)。</p><p><b> 6.1程序設(shè)計(jì)</b></p><
46、;p><b> 一 編碼程序:</b></p><p> LIBRARY ieee;</p><p> USE ieee.std_logic_1164.ALL;</p><p> ENTITY change IS</p><p> PORT(q1,q2,q3,q4,q5,q6,q7,q8: IN STD_
47、LOGIC;</p><p> clr : IN STD_LOGIC;</p><p> m: OUT STD_LOGIC_vector(3 downto 0);</p><p> en: OUT STD_LOGIC);</p><p> END change;</p><p> ARCHITECTURE a
48、 OF change IS</p><p><b> BEGIN</b></p><p> process(q1,q2,q3,q4,q5,q6,q7,q8,clr)</p><p> variable temp:STD_LOGIC_vector(7 downto 0);</p><p><b> beg
49、in</b></p><p> temp:=q1&q2&q3&q4&q5&q6&q7&q8;</p><p> case temp is</p><p> when"01111111"=>m<="0001";</p><p
50、> when"10111111"=>m<="0010";</p><p> when"11011111"=>m<="0011";</p><p> when"11101111"=>m<="0100";</p>
51、<p> when"11110111"=>m<="0101";</p><p> when"11111011"=>m<="0110";</p><p> when"11111101"=>m<="0111";</p&
52、gt;<p> when"11111110"=>m<="1000";</p><p> when others=>m<="1111";</p><p><b> end case;</b></p><p> en <= temp(7)
53、 AND temp(6) AND temp(5) AND temp(4) AND temp(3) AND temp(2) AND temp(1) AND temp(0) AND clr;</p><p> end process;</p><p><b> END a;</b></p><p> 6.1.1鎖存程序:</p>
54、<p> LIBRARY ieee;</p><p> USE ieee.std_logic_1164.ALL;</p><p> USE ieee.std_logic_unsigned.ALL;</p><p> ENTITY lock IS</p><p> PORT(s1: IN STD_LOGIC;</p&
55、gt;<p> s2: IN STD_LOGIC;</p><p> s3: IN STD_LOGIC;</p><p> s4: IN STD_LOGIC;</p><p> s5: IN STD_LOGIC;</p><p> s6: IN STD_LOGIC;</p><p> s7:
56、IN STD_LOGIC;</p><p> s8: IN STD_LOGIC;</p><p> clr: INSTD_LOGIC;</p><p> q1,q2,q3,q4,q5,q6,q7,q8: OUTSTD_LOGIC);</p><p><b> END lock;</b></p>
57、<p> ARCHITECTURE a OF lock IS</p><p><b> BEGIN</b></p><p> process(s1,s2,s3,s4,s5,s6,s7,s8,clr)</p><p><b> begin</b></p><p> if(clr =
58、'0') then</p><p> q1<='1';q2<='1';</p><p> q3<='1';q4<='1';</p><p> q5<='1';q6<='1';</p><p>
59、; q7<='1';q8<='1';</p><p><b> else</b></p><p> q1<=s1;q2<=s2;</p><p> q3<=s3;q4<=s4;</p><p> q5<=s5;q6<=s6;<
60、/p><p> q7<=s7;q8<=s8;</p><p><b> end if;</b></p><p> end process;</p><p><b> END a;</b></p><p> 6.1.2 搶答成功揚(yáng)聲器發(fā)聲程序:</p&g
61、t;<p> LIBRARY ieee;</p><p> USE ieee.std_logic_1164.ALL;</p><p> USE ieee.std_logic_unsigned.ALL;</p><p> ENTITY cnt IS</p><p> PORT(clk,en: in STD_LOGIC;&
62、lt;/p><p> sound1:out STD_LOGIC);</p><p><b> END cnt;</b></p><p> ARCHITECTURE a OF cnt IS</p><p><b> BEGIN</b></p><p> process(e
63、n,clk)</p><p><b> begin</b></p><p> if(clk'event and clk='1') then</p><p> if(en='1') then</p><p> sound1<='1';</p>
64、<p><b> else </b></p><p> sound1<='0';</p><p> end if;end if;</p><p> end process;</p><p><b> END a;</b></p><p
65、> 6.1.3數(shù)碼管顯示管</p><p> LIBRARY ieee;</p><p> USE ieee.std_logic_1164.ALL;</p><p> USE ieee.std_logic_unsigned.ALL;</p><p> ENTITY display IS</p><p>
66、 PORT(m: INSTD_LOGIC_VECTOR(3 downto 0);</p><p> BCD: out STD_LOGIC_VECTOR(7 downto 0));</p><p> END display;</p><p> ARCHITECTURE a OF display IS</p><p><b>
67、 BEGIN</b></p><p> PROCESS(m) </p><p><b> BEGIN</b></p><p><b> CASE m IS</b></p><p> WHEN "0000" => BCD <=
68、"00111111";</p><p> WHEN "0001" => BCD <="00000110";</p><p> WHEN "0010" => BCD <="01011011";</p><p> WHEN "
69、0011" => BCD <="01001111";</p><p> WHEN "0100" => BCD <="01100110";</p><p> WHEN "0101" => BCD <="01101101";</p>
70、;<p> WHEN "0110" => BCD <="01111101";</p><p> WHEN "0111" => BCD <="00000111";</p><p> WHEN "1000" => BCD <=&quo
71、t;01111111";</p><p> WHEN "1001" => BCD <="01101111";</p><p> WHEN OTHERS => BCD <="00000000";</p><p><b> END CASE;</b>
72、;</p><p> END PROCESS; </p><p><b> END a;</b></p><p> 6.2 編譯管腳設(shè)置</p><p> 程序輸入完成后然后選擇用于編程的目標(biāo)芯片:選擇菜單 “Assign”→“Device”, 窗口中的 Device Family 是器件序列欄, 先在此欄中選擇
73、 MAX7000S。然后選擇 EMP7128SLC84- 15 器件, 按 OK,就可以進(jìn)行編譯了,經(jīng)“MAX+PLUSE II”中的“Compiler”菜單編譯,以驗(yàn)證設(shè)計(jì)結(jié)果是否符合要求,如果有問(wèn)題,則返回原設(shè)計(jì)文件再次進(jìn)行修改, 直到正確為止。</p><p> 編譯無(wú)誤后經(jīng)“MAX+PLUSE II”中的“FLOORPLAN EDITOR” 菜單,進(jìn)行輸入、輸出管腳設(shè)置,將元件端口放置到 EPM712
74、8SLC84- 15芯片適當(dāng)?shù)腎/O 口,并用手工調(diào)整按圖十三所示設(shè)置。</p><p><b> 6.3仿真</b></p><p> 編譯成功后進(jìn)行仿真。首先建立波形文件。波形文件建好 并存盤(pán)后。選擇菜單“Max+plusII”→“simulator”,啟動(dòng)仿真操作,結(jié)束后觀察仿真波形( 圖十四所示) 。從仿真波形看, 符合設(shè)計(jì)要求。</p>&
75、lt;p> 圖十四 頂層仿真波形圖</p><p> s1,s2,s3,s4,s5,s6,s7,s8輸入</p><p> q1,q2,q3,q4,q5,q6,q7,q8鎖存輸出</p><p> M編碼輸出和BCD顯示輸入</p><p><b> clk時(shí)鐘</b></p><p&
76、gt; clr 0,清零 1為開(kāi)始搶答</p><p><b> en搶答成功</b></p><p> BCD數(shù)碼管顯示輸出</p><p><b> 個(gè)人心得</b></p><p> EDA技術(shù)作為我們10電子信息工程專業(yè)的考查課,但從始至終我都感覺(jué)這個(gè)是門(mén)好的發(fā)展方向的學(xué)科,只是可
77、惜我們沒(méi)有實(shí)際的練習(xí)能力,通過(guò)這次EDA設(shè)計(jì),在了解到搶答器的基本工作原理的同時(shí),我還基本掌握了EDA軟件的使用方法,并且意識(shí)到,這些軟硬件的應(yīng)用及操作常識(shí)是必不可少的。從最初的選題,到之后的設(shè)計(jì)電路,從大腦中的印象到電腦上的PCB圖,編譯出的圖形,一次又一次的修改到最后的圓滿設(shè)計(jì)圖形,整個(gè)人都有一種從煎熬到慢慢的琢磨到最后的設(shè)計(jì)成功,同時(shí)我也在總結(jié)自己的不足之處,反復(fù)的出現(xiàn)問(wèn)題,不斷地尋找解決途徑,翻閱資料的時(shí)候,擴(kuò)大自己的知識(shí)面,了
78、解更多與本專業(yè)有關(guān)的科技信息,與時(shí)俱進(jìn),將來(lái)才能成為有用的科技人才。</p><p> 進(jìn)一步掌握了EDA技術(shù)的基礎(chǔ)知識(shí)及一門(mén)專業(yè)仿真軟件的基本操作,還提高了自己的設(shè)計(jì)能力和動(dòng)手能力,同時(shí)對(duì)于搶答器有了一個(gè)很詳細(xì)的了解。更多的是讓我看到了自己的不足,明白了凡事都需要耐心,實(shí)踐才是檢驗(yàn)學(xué)習(xí)的有效標(biāo)準(zhǔn)。這將有助于我今后的學(xué)習(xí),端正學(xué)習(xí)態(tài)度,更加努力的學(xué)習(xí)和工作,不僅讓我又學(xué)到了在課堂中學(xué)不到的知識(shí),同時(shí)也提高了綜合
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 八路搶答器-eda課程設(shè)計(jì)
- 八路搶答器eda課程設(shè)計(jì)vhdl
- 搶答器課程設(shè)計(jì)--八路搶答器
- 八路搶答器課程設(shè)計(jì)
- 八路搶答器課程設(shè)計(jì)
- 課程設(shè)計(jì)---八路搶答器
- 八路搶答器課程設(shè)計(jì)
- 八路搶答器課程設(shè)計(jì)
- 八路搶答器課程設(shè)計(jì)
- 八路搶答器設(shè)計(jì)課程設(shè)計(jì)
- 《八路搶答器》課程設(shè)計(jì)報(bào)告
- 八路搶答器課程設(shè)計(jì)報(bào)告
- 八路定時(shí)搶答器課程設(shè)計(jì)
- 八路搶答器課程設(shè)計(jì)報(bào)告
- 八路搶答器cpld課程設(shè)計(jì)
- 八路電子搶答器課程設(shè)計(jì)
- 電子課程設(shè)計(jì)--八路搶答器
- 八路搶答器課程設(shè)計(jì)報(bào)告
- 八路搶答器課程設(shè)計(jì) (2)
- 八路搶答器課程設(shè)計(jì)報(bào)告
評(píng)論
0/150
提交評(píng)論