2023年全國(guó)碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩25頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b>  《八路搶答器》</b></p><p><b>  課程設(shè)計(jì)報(bào)告</b></p><p>  任務(wù)分工: 李啟航:設(shè)計(jì)電路、購(gòu)買器件、焊接及測(cè)試電路 </p><p>  邢芳芳:查閱搜集相關(guān)資料及各個(gè)器件原理及引腳連接

2、 </p><p>  張申: 焊接電路、參與電路設(shè)計(jì)、畫實(shí)物電路引腳圖</p><p>  張旋:模擬電路及撰寫課程設(shè)計(jì)報(bào)告 </p><p>  設(shè)計(jì)時(shí)間: 2012年0

3、5月14 日 —— 20102年 05月18日 </p><p><b>  目 錄</b></p><p>  一、課程設(shè)計(jì)的目的與要求………………………………3</p><p>  二、方案論證選擇…………………………………………3 </p><p>  三、原理

4、設(shè)計(jì)………………………………………………4</p><p>  四、方案實(shí)現(xiàn)與測(cè)試………………………………………12</p><p>  五、原件清單………………………………………………18</p><p>  六、收獲體會(huì)和建議………………………………………19</p><p>  七、主要參考文獻(xiàn)…………………………………………20&l

5、t;/p><p>  八、附錄一…………………………………………………21</p><p>  九、附錄二…………………………………………………21</p><p>  一、課程設(shè)計(jì)的目的與要求</p><p>  通過八路數(shù)字搶答器的設(shè)計(jì)實(shí)驗(yàn),要求學(xué)生回顧所學(xué)數(shù)字電子技術(shù)的基礎(chǔ)理論和基礎(chǔ)實(shí)驗(yàn),掌握組合電路、時(shí)序電路、編程器件和任意集成電路的綜合

6、使用及設(shè)計(jì)方法,熟悉掌握優(yōu)先編碼器、觸發(fā)器、計(jì)數(shù)器、單脈沖觸發(fā)器、555電路、譯碼/驅(qū)動(dòng)電路的應(yīng)用方法,熟悉掌握時(shí)序電路的設(shè)計(jì)方法。達(dá)到數(shù)字實(shí)驗(yàn)課程大綱所要求掌握的基本內(nèi)容。</p><p>  在許多比賽活動(dòng)中,為了準(zhǔn)確、公正、直觀地判斷出第一搶答者,通常設(shè)置一臺(tái)搶答器,通過數(shù)顯、燈光或音響等多種手段指示出第一搶答者。</p><p>  (1)設(shè)計(jì)制作一個(gè)可容納8組參賽的數(shù)字式搶答器,

7、每組設(shè)置一個(gè)搶答按鈕供搶答者使用。</p><p>  (2)電路具有第一搶答信號(hào)的鑒別和鎖存功能。在主持人系統(tǒng)發(fā)出搶答指令后,若參賽者按搶答開關(guān),則該組指示燈亮并用組別顯示電路顯示出搶答者的組別,同時(shí)指示燈(發(fā)光二極管)亮。此時(shí),電路應(yīng)具備自鎖存功能,使別組的搶答開關(guān)不起作用。</p><p> ?。?)若超時(shí)仍無人搶答,則報(bào)警指示燈熄滅。</p><p><

8、;b>  二、方案論證選擇</b></p><p>  方案一:搶答器各部分采用中小規(guī)模集成數(shù)字電路,用機(jī)械開關(guān)按鈕作為控制開關(guān),完成搶答輸入信號(hào)的觸發(fā)。該方案的特點(diǎn)是中小規(guī)模集成電路應(yīng)用技術(shù)成熟,性能可靠,能方便地完成選手搶答的基本功能。它由主體電路和擴(kuò)展電路組成。主體電路完成基本搶答功能,即開始搶答后,當(dāng)選手按動(dòng)搶答鍵時(shí),能顯示選手編號(hào),同時(shí)封鎖輸入電路,禁止其他選手搶答。擴(kuò)展電路完成定時(shí)搶

9、答功能,報(bào)警功能等。</p><p>  方案二:采用STC89C52單片機(jī)作為控制中心,搶答器可以完成運(yùn)算控制、信號(hào)識(shí)別以及顯示功能的實(shí)現(xiàn)。</p><p>  當(dāng)主持人宣布搶答開始的時(shí)候,按下開始按鈕,此時(shí)電路進(jìn)入搶答狀態(tài),選手的輸入采用了掃描式的輸入,之后把對(duì)應(yīng)的信息送往單片機(jī),再由單片機(jī)輸出到顯示輸出電路中,此時(shí)有人第一按下相應(yīng)的搶答按鈕,經(jīng)過單片機(jī)的按鈕選擇,在八段顯示器上顯示相

10、應(yīng)的號(hào)碼,并鎖存,并禁止其他按鈕的輸入。</p><p><b>  方案選擇:</b></p><p>  雖然單片機(jī)具有很靈活的編程性,但由于我們還沒開設(shè)單片機(jī)的學(xué)習(xí),我們對(duì)其不能熟練操作,而且數(shù)字電路的制作方案比較容易實(shí)現(xiàn)并且原理方面比較簡(jiǎn)單,所以我們選擇了方案一,運(yùn)用所學(xué)數(shù)電及其相關(guān)知識(shí)解決相關(guān)問題。</p><p><b>

11、  三、原理設(shè)計(jì)</b></p><p>  3.1 總體方案設(shè)計(jì)</p><p>  3.1.1 設(shè)計(jì)思路</p><p>  本題的根本任務(wù)是準(zhǔn)確判斷出第一搶答者的信號(hào)并將其鎖存。實(shí)現(xiàn)這一功能可選擇使用觸發(fā)器或鎖存器等。在得到第一信號(hào)之后應(yīng)立即將電路的輸入封鎖,即使其他組的搶答信號(hào)無效。同時(shí)還必須注意,第一搶答信號(hào)應(yīng)該在主持人發(fā)出搶答命令之后才有效。

12、</p><p>  當(dāng)電路形成第一搶答信號(hào)之后,用編碼、譯碼及數(shù)碼顯示電路顯示出搶答者的組別,也可以用發(fā)光二極管直接指示出組別。</p><p>  在主持人沒有按下開始搶答按鈕前,參賽者的搶答開關(guān)無效;當(dāng)主持人按下開始搶答按鈕后,開始進(jìn)行8秒倒計(jì)時(shí),此時(shí),若有組別搶答,顯示該組別并使搶答指示燈亮表示“已有人搶答”;當(dāng)計(jì)時(shí)時(shí)間到,仍無組別搶答,則計(jì)時(shí)指示燈滅表示“時(shí)間已到”,主持人清零后

13、開始新一輪搶答。</p><p>  3.1.2 總電路框圖</p><p>  圖 3-1總電路框圖</p><p>  3.2 各模塊設(shè)計(jì)方案及原理說明</p><p>  3.2.1 搶答電路</p><p>  此部分電路主要完成的功能是實(shí)現(xiàn)8路選手搶答并進(jìn)行鎖存,同時(shí)有相應(yīng)發(fā)光二極管點(diǎn)亮和數(shù)碼顯示。</

14、p><p>  使用優(yōu)先編碼器 74LS148 和鎖存器 74LS297 來完成。該電路主要完成兩個(gè)功能:一是分辨出選手按鍵的先后,并鎖存優(yōu)先搶答者的編號(hào),同時(shí)譯碼顯示電路顯示編號(hào)(顯示電路采用七段數(shù)字?jǐn)?shù)碼顯示管);二是禁止其他選手按鍵,其按鍵操作無效。工作過程:開關(guān)S 置于"清除"端時(shí),RS 觸發(fā)器的 R、S 端均為0,4 個(gè)觸發(fā)器輸出置0,使74LS148 的優(yōu)先編碼工作標(biāo)志端= 0,使之處于

15、工作狀態(tài)。當(dāng)開關(guān)S 置于"開始"時(shí),搶答器處于等待工作狀態(tài),當(dāng)有選手將搶答按鍵按下時(shí)(如按下S5),74LS148 的輸出經(jīng)RS 鎖存后,CTR=1,RBO =1, 七段顯示電路74LS48 處于工作狀態(tài),4Q3Q2Q=101,經(jīng)譯碼顯示為“5”。此外,CTR =1,使74LS148 優(yōu)先編碼工作標(biāo)志端=1,處于禁止?fàn)顟B(tài),封鎖其他按鍵的輸入。當(dāng)按鍵松開即按下時(shí),74LS148 的 此時(shí)由于仍為CTR=1,使優(yōu)先編碼工

16、作標(biāo)志端=1, 5 所以74LS148 仍處于禁止?fàn)顟B(tài),確保不會(huì)出二次按鍵時(shí)輸入信號(hào),保證了搶答者的優(yōu)先性。只要有一組選手先按下?lián)尨鹌?,就?huì)將編碼器鎖死,不再對(duì)其他組進(jìn)行編碼。通過74LS48譯碼</p><p><b>  原理圖如下:</b></p><p>  圖 3-2 搶答模塊原理圖</p><p><b>  RS觸發(fā)器:

17、</b></p><p>  1. 保持狀態(tài)。當(dāng)輸入端接入==1的電平時(shí),如果基本SR觸發(fā)器現(xiàn)態(tài)=1、=0,則觸發(fā)器次態(tài)=1、=0;若基本SR觸發(fā)器的現(xiàn)態(tài)=0、=1,則觸發(fā)器次態(tài)=0、=1。即==1時(shí),觸發(fā)器保持原狀態(tài)不變。</p><p>  2. 置0狀態(tài)。當(dāng)=1,=0時(shí),如果基本SR觸發(fā)器現(xiàn)態(tài)為=1、=0,因=0,會(huì)使=1,而=1與=1共同作用使端翻轉(zhuǎn)為0;如果基本SR觸

18、發(fā)器現(xiàn)態(tài)為=0、=1,同理會(huì)使=0,=1。只要輸入信號(hào)=1,=0,無論基本SR觸發(fā)器的輸出現(xiàn)態(tài)如何,均會(huì)使輸出次態(tài)置為0態(tài)。</p><p>  3. 置1狀態(tài)。當(dāng)=0、=1時(shí),如果觸發(fā)器現(xiàn)態(tài)為=0、=1,因=0,會(huì)使G1的輸出端次態(tài)翻轉(zhuǎn)為1,而=1和=1共同使G2的輸出端=0;同理當(dāng)=1、=0,也會(huì)使觸發(fā)器的次態(tài)輸出為=1、=0;只要=0、=1,無論觸發(fā)器現(xiàn)態(tài)如何,均會(huì)將觸發(fā)器置1。</p>&l

19、t;p>  4. 不定狀態(tài)。當(dāng)==0時(shí),無論觸發(fā)器的原狀態(tài)如何,均會(huì)使=1,=1。當(dāng)脈沖去掉后,和同時(shí)恢復(fù)高電平后,觸發(fā)器的新狀態(tài)要看G1 和G2兩個(gè)門翻轉(zhuǎn)速度快慢,所以稱==0是不定狀態(tài),在實(shí)際電路中要避免此狀態(tài)出現(xiàn)?;綬S觸發(fā)器的邏輯圖、邏輯符號(hào)和波形圖如圖1-7所示。</p><p> ?。╝)邏輯圖 (b) 邏輯符號(hào) (c) 波形圖<

20、/p><p>  圖 3-3 基本SR觸發(fā)器</p><p>  表 3-1 74LS148真值表</p><p>  4LS148的輸入端和輸出端低電平有效。~是輸入信號(hào),~為三位二進(jìn)制編碼輸出信號(hào),=1時(shí),編碼器禁止編碼,當(dāng)=0時(shí),允許編碼。是技能輸出端,只有在=0,而~均無編碼輸入信號(hào)時(shí)為0。為優(yōu)先編碼輸出端,在=0而~的其中之一有信號(hào)時(shí),=0。~各輸入端的優(yōu)先

21、順序?yàn)椋杭?jí)別最高,級(jí)別最低。如果=0(有信號(hào)),則其它輸入端即使有輸入信號(hào),均不起作用,此時(shí)輸出只按編碼,=000。優(yōu)先編碼被廣泛用于計(jì)算機(jī)控制系統(tǒng)中,當(dāng)有多個(gè)外設(shè)申請(qǐng)中斷時(shí),優(yōu)先編碼器總是給優(yōu)先級(jí)別高的設(shè)備先編碼</p><p>  二進(jìn)制譯碼器是將輸入的二進(jìn)制代碼的各種狀態(tài)按特定含義翻譯成對(duì)應(yīng)輸出信號(hào)的電路。也稱為變量譯碼器。若輸入端有n位,代碼組合就有2n個(gè),當(dāng)然可譯出2n個(gè)輸出信號(hào)。</p>

22、<p>  顯示譯碼器由譯碼輸出和顯示器配合使用,最常用的是BCD七段譯碼器。其輸出是驅(qū)動(dòng)七段字形的七個(gè)信號(hào),常見產(chǎn)品型號(hào)有74LS48、74LS47等。</p><p>  字符顯示器:分段式顯示是將字符由分布在同一平面上的若干段發(fā)光筆劃組成。電子計(jì)算器,數(shù)字萬用表等顯示器都是顯示分段式數(shù)字。而LED數(shù)碼顯示器是最常見的。通常有紅、綠、黃等顏色。LED的死區(qū)電壓較高,工作電壓大約1.5~3V,驅(qū)動(dòng)電

23、流為幾十毫安。74LS47譯碼驅(qū)動(dòng)器輸出是低電平有效,所以配接的數(shù)碼管須采用共陽極接法;而74LS48譯碼驅(qū)動(dòng)器輸出是高電平有效,所以,配接的數(shù)碼管須采用共陰極接法。數(shù)碼管常用型號(hào)有BS201、BS202等。,使用時(shí),公陰極接地,7個(gè)陽極a~g由相應(yīng)的BCD七段譯碼器來驅(qū)動(dòng)。 </p><p>  3.2.2 倒計(jì)時(shí)電路</p><p><b>  原理圖如下:</b>

24、;</p><p>  圖 3-5 倒計(jì)時(shí)模塊原理圖</p><p>  該部分主要由555 定時(shí)器秒脈沖產(chǎn)生電路、十進(jìn)制同步加減計(jì)數(shù)器74LS192 減法計(jì)數(shù)電路、74LS48 譯碼電路和 2 個(gè) 7 段數(shù)碼管即相關(guān)電路組成。完成的功能是當(dāng)主持人按下開始搶答按鈕后,進(jìn)行30s倒計(jì)時(shí),到0s時(shí)倒計(jì)時(shí)指示燈亮。當(dāng)有人搶答時(shí),計(jì)時(shí)停止。兩塊74LS192 實(shí)現(xiàn)減法計(jì)數(shù),通過譯碼電路 74LS4

25、8 顯示到數(shù)碼管上,其時(shí)鐘信號(hào)由時(shí)鐘產(chǎn)生電路提供。74LS192 的預(yù)置數(shù)控制端實(shí)現(xiàn)預(yù)置數(shù)30s,計(jì)數(shù)器的時(shí)鐘脈沖由秒脈沖電路提供。按鍵彈起后,計(jì)數(shù)器開始減法計(jì)數(shù)工作,并將時(shí)間顯示在共陰極七段數(shù)碼顯示管上,當(dāng)有人搶答時(shí),停止計(jì)數(shù)并顯示此時(shí)的倒計(jì)時(shí)時(shí)間;如果沒有人搶答,且倒計(jì)時(shí)時(shí)間到時(shí), 輸出低電平到時(shí)序控制電路,控制報(bào)警電路報(bào)警,同時(shí)以后選手搶答無效。 </p><p>  由555定時(shí)器和三極管構(gòu)成報(bào)警電路。其

26、中555構(gòu)成多諧振蕩器,振蕩頻率fo= 1.43/[(RI+2R2)C],其輸出信號(hào)經(jīng)三極管推動(dòng)揚(yáng)聲器。PR為控制信號(hào),當(dāng)PR為高電平時(shí),多諧振蕩器工作,反之,電路停振。</p><p>  控制輸入信號(hào)為經(jīng)過編碼的搶答選手的信號(hào),當(dāng)有人在有效時(shí)間內(nèi)搶答時(shí),定時(shí)時(shí)間到時(shí)無人搶答時(shí),輸入信號(hào)為高電平,報(bào)警電路發(fā)出報(bào)警信號(hào);反之,輸入信號(hào)為低電平時(shí),報(bào)警器不工作。</p><p>  將連接好

27、的555定時(shí)器的輸出端接在示波器上,呈現(xiàn)如下符合要求的方波。</p><p>  圖3 -7 555定時(shí)器輸出波形</p><p><b>  方案實(shí)現(xiàn)與測(cè)試</b></p><p><b>  4.1搶答電路</b></p><p>  第2路搶答器搶答后的顯示,同時(shí)發(fā)光二極管指示燈發(fā)光,如下圖

28、:</p><p>  圖 4-1 搶答電路仿真</p><p>  CTR端的示波器波形:</p><p>  如圖,撥動(dòng)開關(guān)后,CTR上跳,有人搶答時(shí),CTR下跳</p><p>  圖 4-2 CTR端仿真曲線</p><p>  圖 4-3 倒計(jì)時(shí)電路仿真</p><p>  在B

29、O1和BO2端放置示波器,波形如圖:</p><p>  圖 4-4 BO1端仿真曲線</p><p>  計(jì)時(shí)器個(gè)位減到0時(shí),BO1出現(xiàn)一個(gè)下跳;計(jì)時(shí)器十位減到0時(shí),BO2出現(xiàn)一個(gè)下跳。</p><p><b>  圖</b></p><p><b>  4</b></p><

30、p>  圖4-5 BO2端仿真曲線</p><p>  4.2實(shí)驗(yàn)結(jié)果及分析:</p><p>  接通電源,開關(guān)打到預(yù)置端,倒計(jì)時(shí)數(shù)碼管顯示30。主持人撥動(dòng)開關(guān)后,倒計(jì)時(shí)電路開始從30秒倒數(shù),8路觸點(diǎn)開關(guān)處于待命狀態(tài)。</p><p>  若有選手按下所在開關(guān)(搶答),搶答電路顯示選手所在編碼(0~7),倒計(jì)時(shí)電路停止計(jì)時(shí)。同時(shí)其他選手所在的開關(guān)被鎖定,搶答

31、無效。</p><p>  圖 5-1 倒計(jì)時(shí)狀態(tài)</p><p>  圖 5-2 搶答顯示</p><p>  如果30秒倒計(jì)時(shí)結(jié)束后仍無人搶答,LED燈熄滅,倒計(jì)時(shí)電路鎖定為00,搶答不再有效。</p><p>  這時(shí)只需主持人重新將開關(guān)打到預(yù)置段,整個(gè)電路全部回到初態(tài)。準(zhǔn)備進(jìn)行下一輪搶答。</p><p>

32、<b>  4.3、安裝與調(diào)試</b></p><p><b>  1、 電路的安裝</b></p><p>  電路安裝要注意幾個(gè)原則:</p><p>  1.先裝矮后裝高、先裝小后裝大、先裝耐焊等等;</p><p>  2. 布線盡量使電源線和地線靠近實(shí)驗(yàn)電路板的周邊,以起一定的屏蔽作用;&

33、lt;/p><p>  3. 最好分模塊安裝。此外焊接時(shí)不能出現(xiàn)虛焊、假焊、漏焊,更不能出現(xiàn)過焊,因?yàn)橛行┢骷?,不能耐高溫,比如焊接三極管時(shí),電烙鐵絕對(duì)不能停留太久;</p><p>  4.在安裝的時(shí)候,需要注意各個(gè)集成芯片的管腳接法。</p><p><b>  2、電路的焊接</b></p><p>  焊接是在設(shè)計(jì)的

34、電路原理圖的基礎(chǔ)上進(jìn)行排板布線,然后進(jìn)行焊接。焊接所用到主要儀器有:</p><p><b>  1、電烙鐵;</b></p><p><b>  2、焊錫絲;</b></p><p>  3、電烙鐵架和松香;</p><p><b>  4、吸錫器;</b></

35、p><p>  5、可變電壓源(5V);</p><p>  在焊接一些帶極性的元件(發(fā)光二極管LED)的時(shí)候要先用數(shù)字萬用表進(jìn)行確認(rèn)正負(fù)極。經(jīng)過測(cè)量發(fā)光二極管的兩個(gè)引腳中較長(zhǎng)的引腳為正極,較短的引腳為負(fù)極。另外兩種阻值的電阻的測(cè)量方法也是用數(shù)字萬用表的歐姆檔進(jìn)行測(cè)量,不一一舉例</p><p><b>  五、元器件清單</b></p&g

36、t;<p>  5V共陰數(shù)碼管 3個(gè)</p><p>  譯碼器74LS48 3個(gè)</p><p>  RS觸發(fā)器 74LS2148 1個(gè)</p><p>  可逆計(jì)數(shù)器74LS192 2個(gè)</p><p><b>  555定時(shí)器 1個(gè)</b></p><p>  2輸入與非門77

37、9 1個(gè)</p><p>  優(yōu)先編碼器74LS 4LS00 1個(gè)</p><p>  4輸入與非門74LS20 1個(gè)</p><p><b>  10kΩ電阻 9個(gè)</b></p><p><b>  1kΩ電阻4個(gè)</b></p><p><b>  50kΩ電

38、阻 1個(gè)</b></p><p><b>  15kΩ電阻 1個(gè)</b></p><p><b>  100Ω電阻 1個(gè)</b></p><p><b>  發(fā)光二極管 2個(gè)</b></p><p><b>  觸點(diǎn)開關(guān)8個(gè)</b></p

39、><p><b>  單刀雙擲開關(guān) 1個(gè)</b></p><p>  10μF電解電容 1個(gè)</p><p>  0.01μF瓷片電容 1個(gè)</p><p><b>  導(dǎo)線 若干</b></p><p>  六、收獲、體會(huì)和建議</p><p>  這次

40、課程設(shè)計(jì)的電路是比較復(fù)雜的。雖然以前在模電中接觸過一些電子電路,但在初期還是感到無從下手。這是我第一次接觸multisim軟件,感覺它比較靈活易用,熟練以后十分順手。在整個(gè)電路的設(shè)計(jì)過程中,花費(fèi)時(shí)間最多的是各個(gè)單元電路的連接及電路的細(xì)節(jié)設(shè)計(jì)上,在多種方案的選擇中,我們仔細(xì)比較分析其原理以及可行的原因,最后還是在通多次對(duì)電路的改進(jìn),上機(jī)仿真以及接線調(diào)試,終于使整個(gè)電路可穩(wěn)定工作。設(shè)計(jì)過程中,我深刻的體會(huì)到在設(shè)計(jì)過程中,需要反復(fù)實(shí)踐,其過程

41、很可能相當(dāng)煩瑣,有時(shí)花很長(zhǎng)時(shí)間設(shè)計(jì)出來的電路還是需要重做,那時(shí)心中未免有點(diǎn)灰心,有時(shí)還特別想放棄,此時(shí)更加需要靜下心,查找原因。設(shè)計(jì)思路是最重要的,只要你的設(shè)計(jì)思路是成功的,那你的設(shè)計(jì)已經(jīng)成功了一半。因此我們應(yīng)該在設(shè)計(jì)前做好充分的準(zhǔn)備,像查找詳細(xì)的資料,為我們?cè)O(shè)計(jì)的成功打下堅(jiān)實(shí)的基礎(chǔ)。</p><p>  設(shè)計(jì)單元電路階段,這個(gè)階段可以說是考察數(shù)電書本知識(shí)的階段。所有的設(shè)計(jì)方法還有步驟在數(shù)電書上都有,而且還有例題

42、。這個(gè)階段遇到的主要問題就是以前的知識(shí)忘記不少,所以做設(shè)計(jì)的時(shí)候要常隨手翻閱課本,等于是做了幾道數(shù)電作業(yè)題。這個(gè)階段的難度也不是很大,一般翻課本就可以找到答案并解決問題。</p><p>  實(shí)驗(yàn)階段可以說是這次設(shè)計(jì)中最重要的部分,因?yàn)橐郧暗闹皇抢碚摱皇钦嬲膶?shí)體。所以說它是最重要的。實(shí)驗(yàn)階段我們遇到的問題有:對(duì)軟件不熟悉;對(duì)實(shí)驗(yàn)過程中信號(hào)的測(cè)量知識(shí)學(xué)習(xí)很少;因?yàn)楦鱾€(gè)模塊是分開做而后又組裝到一起的,所以兼容性不

43、是很好(也就是不能融合為一個(gè)整體,部分工作能行但是接到一起就會(huì)出現(xiàn)問題);針對(duì)以上幾個(gè)問題我們作出了以下的“對(duì)策”:軟件不熟悉,就借來參考書,一步一步的對(duì)著學(xué),而且老師給的資料上也有軟件的使用說明,所以隨著接觸的增加軟件也就越來越熟悉,這方面的問題不是太難因?yàn)橐贿吚碚撘贿厡W(xué)習(xí)正好是學(xué)習(xí)的好方法,而且也學(xué)的特別快。</p><p>  制作過程是一個(gè)考驗(yàn)人耐心的過程,不能有絲毫的急躁,電路的焊接要一步一步來,焊點(diǎn)多

44、,走線復(fù)雜。另外就是要熟練地掌握課本上的知識(shí),這樣才能對(duì)試驗(yàn)中出現(xiàn)的問題進(jìn)行分析解決。這是應(yīng)用課本知識(shí)的大好時(shí)機(jī)。</p><p>  總之,通過這次練習(xí)我有了很多收獲。在摸索該如何設(shè)計(jì)電路使之實(shí)現(xiàn)所需功能的過程中,特別有趣,培養(yǎng)了我的設(shè)計(jì)思維,增強(qiáng)了動(dòng)手能力。在改進(jìn)電路的過程中,同學(xué)們共同探討,最后的電路已經(jīng)比初期設(shè)計(jì)有了很大提高。在讓我體會(huì)到了設(shè)計(jì)電路的艱辛的同時(shí),更讓我體會(huì)到成功的喜悅和快樂。</p&

45、gt;<p><b>  七、主要參考文獻(xiàn)</b></p><p>  《數(shù)字電子技術(shù)基礎(chǔ)》 范文兵 清華大學(xué)出版社 2007</p><p>  《數(shù)字電路邏輯設(shè)計(jì)(第三版)》 王毓銀 高等教育出版社 2005</p><p>  《數(shù)字電路實(shí)驗(yàn)基礎(chǔ)》 崔葛瑾 同濟(jì)大學(xué)出版社 2005</p><p> 

46、 《數(shù)字電路實(shí)驗(yàn)與課程設(shè)計(jì)》 呂思忠、施齊云 哈爾濱工程大學(xué)出版社 2001</p><p>  《電子線路 設(shè)計(jì) 實(shí)驗(yàn) 測(cè)試(第三版)》 謝自美 華中科技大學(xué)出版社 2006</p><p>  《Multsim9在電工電子技術(shù)中的應(yīng)用》 董玉冰 清華大學(xué)出版社 2008</p><p>  《數(shù)字電路及制作實(shí)例》 陳振官 國(guó)防工業(yè)出版社 2006</p&g

47、t;<p><b>  附錄1:總電路圖</b></p><p>  附錄2:部分芯片引腳示意圖及其真值表</p><p>  74LS48引腳示意圖及真值表</p><p>  74LS279引腳示意圖及真值表</p><p>  74LS148引腳示意圖及真值表</p><p>

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論