2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩34頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、大連民族學(xué)院電工電子實(shí)驗(yàn)教學(xué)中心,可編程器件與電子設(shè)計(jì)自動(dòng)化,,EDA經(jīng)歷了幾次大的進(jìn)步,70年代,EDA工具供應(yīng)商只有幾家,產(chǎn)品幾乎全部面向LSI或PCB布線設(shè)計(jì)。例如,現(xiàn)在常用的TANGO軟件就屬于PCB布線軟件。這一時(shí)期的EDA一般稱為電路CAD(計(jì)算機(jī)輔助設(shè)計(jì))。,EDA經(jīng)歷了幾次大的進(jìn)步,80年代,開始供應(yīng)帶電路圖編輯工具和邏輯模擬工具的EDA軟件,這個(gè)時(shí)期的EDA是以數(shù)字電路分析工具為代表,主要解決電路設(shè)計(jì)沒(méi)有完成之前的功能

2、檢驗(yàn)問(wèn)題。,EDA經(jīng)歷了幾次大的進(jìn)步,進(jìn)入90年代,乃至目前使用的EDA軟件是第三代EDA軟件。以邏輯綜合、硬件行為仿真、參數(shù)分析和測(cè)試為重點(diǎn)。設(shè)計(jì)工具門類齊全,能夠提供系統(tǒng)設(shè)計(jì)需要的全部工具,如描述設(shè)計(jì)意圖的設(shè)計(jì)輸入工具、具有邏輯綜合和設(shè)計(jì)優(yōu)化能力的設(shè)計(jì)工具以及驗(yàn)證設(shè)計(jì)和評(píng)估性能的仿真工具,能夠在系統(tǒng)級(jí)、電路級(jí)和RTL及門級(jí)進(jìn)行設(shè)計(jì)描述、綜合和仿真。,電子線路計(jì)算機(jī)輔助設(shè)計(jì)(CAD) 與電子設(shè)計(jì)自動(dòng)化(EDA)CAD:Com

3、puter Aided Design EDA:Electronic Design Automation,可編程器件 基于芯片的設(shè)計(jì)方法以計(jì)算機(jī)為操作平臺(tái)EDA軟件工具,傳統(tǒng)數(shù)字電路設(shè)計(jì)方法,確定目標(biāo)設(shè)計(jì)電路原理圖 考慮因素:設(shè)計(jì)者經(jīng)驗(yàn)(直接設(shè)計(jì)、利用真值表、現(xiàn)有的電路模塊)、可獲得的元器件、盡可能少地使用元

4、件、制圖規(guī)范,美觀 (用電路圖設(shè)計(jì)軟件,如PROTEL、ORCAD、PSPICE等).,傳統(tǒng)數(shù)字電路設(shè)計(jì)方法,審核、修改電路原理圖 (電路仿真軟件,WORKBENCH等)設(shè)計(jì)、制作印刷電路板 (利用相應(yīng)的軟件完成從原理圖到印刷板的自動(dòng)或半自動(dòng)布線)購(gòu)置元器件、焊接、調(diào)試.調(diào)試中發(fā)現(xiàn)問(wèn)題,重復(fù)步驟3--5,三人表決器電路,真值表A B C F0 0 0 00 0 1 00 1 0

5、 00 1 1 11 0 0 01 0 1 11 1 0 11 1 1 1F=AB+BC+CA,,,,,可編程ASIC器件(PLD),電路主體是“與陣列”和“或陣列”可以直接輸出,也可通過(guò)寄存器方式,按可編程的部位分類,CPLD (Altera 公司為代表),復(fù)雜可編程邏輯器件 (Complex Programmable Logical Devices)一

6、個(gè)CPLD含有多個(gè)邏輯元件塊(PLD)每個(gè)邏輯塊間的接線是可編程的運(yùn)行速度比FPGA快功耗較大,CPLD 結(jié) 構(gòu) 方 框 圖,FPGA(Xilinx 公司為代表),現(xiàn)場(chǎng)可編程門陣列 (Field Programmable Gate Array) 邏輯功能塊粒度小 類似門陣列的連線通道和邏輯功能塊結(jié) 構(gòu) 邏輯元件使用率高 寄存器較多,可編程ASIC器件的編程元件,熔絲型開關(guān)浮柵編程技術(shù)基于SRAM的

7、編程元件,熔絲型開關(guān),只允許對(duì)器件編程一次,編程后不能修改.集成度、工作頻率和可靠性很高.適用于電磁輻射干擾強(qiáng)的惡劣環(huán)境.,浮柵編程技術(shù),基于E2PROM存儲(chǔ)器的可編程器件能夠重復(fù)編程100次以上系統(tǒng)掉電后編程信息也不會(huì)丟失可用在系統(tǒng)編程的方法可設(shè)置加密位、節(jié)能方式等工作條件,基于SRAM的編程元件,編程數(shù)據(jù)存儲(chǔ)于器件的RAM區(qū)中掉電時(shí)RAM中數(shù)據(jù)會(huì)丟失編程數(shù)據(jù)平時(shí)存儲(chǔ)在EPROM、磁盤中系統(tǒng)加電時(shí)將這些編程數(shù)據(jù)即時(shí)

8、寫入可編程器件的RAM中,電路原理圖輸入方式與下載,與傳統(tǒng)的設(shè)計(jì)方法相近,電子工程師容易接受簡(jiǎn)捷、直觀設(shè)計(jì)者需要熟悉元器件功能適合于用中規(guī)模通用模塊來(lái)設(shè)計(jì)電路對(duì)于復(fù)雜電路的設(shè)計(jì),十分困難甚至難以實(shí)現(xiàn),硬件描述語(yǔ)言(HDL、VHDL、ABEL等)輸入方式,與傳統(tǒng)的設(shè)計(jì)思考方式完全不同的嶄新思維方式類似高級(jí)程序語(yǔ)言設(shè)計(jì)較少依賴對(duì)元器件的熟悉程度容易進(jìn)行復(fù)雜電路的設(shè)計(jì)(適合某些不通用的控制器電路),邏輯電路的語(yǔ)言描述,HDL(

9、Hardware Description Language)硬件描述語(yǔ)言AHDL(Altera Hardware Description Language)ABEL-HDLVHDL,EPM7128S管腳示意圖,在系統(tǒng)編程芯片EPM7128S-15基 本 結(jié) 構(gòu),低密度、高性能CMOS可編程邏輯器件之一。84腳的PLCC封裝。4個(gè)直接輸入2,1,84,83和64個(gè)I/O引腳。(I/O引腳既可作為輸出、又可作為輸

10、入)TMS、TDI、TDO、TCK是在系統(tǒng)編程信號(hào),在系統(tǒng)編程之后,四個(gè)信號(hào)不可作為I/O引腳。,8個(gè)相似的邏輯陣列塊LAB(Logic Array Block)。每個(gè)LAB有16個(gè)宏單元(Macrocell) 2個(gè)獨(dú)立的全局時(shí)鐘和一個(gè)全局清除.每個(gè)宏 單元有一個(gè)寄存器。 一個(gè)可編程連線陣列(PLA). 多個(gè)輸入/輸出控制塊(I/O Block).,JDEE-7 在系統(tǒng)可編程器件實(shí)驗(yàn)箱 面 板 圖,JDE

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論