2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩17頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b>  目 錄</b></p><p><b>  摘要I</b></p><p>  1 數(shù)字鐘的構(gòu)成1</p><p>  2 數(shù)字鐘單元電路的設(shè)計(jì)3</p><p>  2.1 振蕩器電路設(shè)計(jì)3</p><p>  2.2 時(shí)間計(jì)數(shù)單元設(shè)計(jì)

2、3</p><p>  2.2.1 集成異步計(jì)數(shù)器74LS90.3</p><p>  2.2.2 用74LS90構(gòu)成秒和分計(jì)數(shù)器電路5</p><p>  2.2.3 用74LS90構(gòu)成時(shí)計(jì)數(shù)器電路6</p><p>  2.2.4 時(shí)間計(jì)數(shù)單元總電路6</p><p>  2.3 譯碼顯示單元電路設(shè)計(jì)7

3、</p><p>  2.3.1 譯碼器74LS48 7</p><p>  2.3.2 顯示器LG5011AH9</p><p>  2.3.3 譯碼顯示電路10</p><p>  2.4 校時(shí)單元電路設(shè)計(jì)11</p><p>  3 數(shù)字鐘的實(shí)現(xiàn)電路及其工作原理12</p><p&g

4、t;  4 電路的安裝與調(diào)試13</p><p>  5 課程設(shè)計(jì)心得體會(huì)14</p><p><b>  參考文獻(xiàn)15</b></p><p><b>  附錄116</b></p><p><b>  摘 要</b></p><p>  數(shù)字

5、鐘是一種用數(shù)字電路技術(shù)實(shí)現(xiàn)時(shí)、分、秒計(jì)時(shí)的裝置,與機(jī)械式時(shí)鐘相比具有更高的準(zhǔn)確性和直觀性,且無(wú)機(jī)械裝置,具有更長(zhǎng)的使用壽命,因此得到了廣泛的使用。數(shù)字電子鐘,從原理上講是一種典型的數(shù)字電路,其中包括了組合邏輯電路和時(shí)序電路。數(shù)字電子鐘有以下幾部分組成:振蕩器,分頻器,60進(jìn)制的秒、分計(jì)時(shí)器和24進(jìn)制計(jì)時(shí)計(jì)數(shù)器,秒、分、時(shí)的譯碼顯示部分及校正電路等。采用74LS系列(雙列直插式)中小規(guī)模集成芯片進(jìn)行硬件的焊接。</p>&l

6、t;p>  關(guān)鍵詞:數(shù)字鐘 振蕩器 計(jì)數(shù)器 譯碼驅(qū)動(dòng) </p><p>  1 數(shù)字鐘的構(gòu)成 數(shù)字鐘實(shí)際上是一個(gè)對(duì)標(biāo)準(zhǔn)頻率(1HZ)進(jìn)行計(jì)數(shù)的計(jì)數(shù)電路。主要由振蕩器、分頻器、計(jì)數(shù)器、譯碼器顯示器和校時(shí)電路組成。振蕩器產(chǎn)生穩(wěn)定的高頻脈沖信號(hào),作為數(shù)字鐘的時(shí)間基準(zhǔn),通常使用石英晶體震蕩器,然后經(jīng)過(guò)分頻器輸出標(biāo)準(zhǔn)秒脈沖,或者由555構(gòu)成的多諧振蕩器來(lái)直接產(chǎn)生1HZ的脈沖信號(hào)。秒計(jì)數(shù)器滿60后向分計(jì)數(shù)器

7、進(jìn)位,分計(jì)數(shù)器滿60后向小時(shí)計(jì)數(shù)器進(jìn)位,小時(shí)計(jì)數(shù)器按照“24翻1”規(guī)律計(jì)數(shù)。計(jì)數(shù)器的輸出分別經(jīng)譯碼器送顯示器顯示。由于計(jì)數(shù)的起始時(shí)間不可能與標(biāo)準(zhǔn)時(shí)間一致,故需要在電路上加一個(gè)校時(shí)電路,當(dāng)計(jì)時(shí)出現(xiàn)誤差時(shí),可以用校時(shí)電路校時(shí)、校分。如圖 1-1所示為數(shù)字鐘電路系統(tǒng)的組成框圖。</p><p>  圖1-1數(shù)字鐘電路系統(tǒng)的組成框圖</p><p>  振蕩器是數(shù)字鐘的核心。振蕩器的穩(wěn)定度及頻率的

8、精確度決定了數(shù)字鐘計(jì)時(shí)的準(zhǔn)確程度,一般來(lái)說(shuō),振蕩器的頻率越高,計(jì)時(shí)精度越高。通常選用石英晶體構(gòu)成振蕩器電路構(gòu)成振蕩器。也可以由555定時(shí)器組成。</p><p>  時(shí)間計(jì)數(shù)電路由秒個(gè)位和秒十位計(jì)數(shù)器、分個(gè)位和分十位計(jì)數(shù)器及時(shí)個(gè)位和時(shí)十位計(jì)數(shù)器電路構(gòu)成,其中秒個(gè)位和秒十位計(jì)數(shù)器、分個(gè)位和分十位計(jì)數(shù)器為60進(jìn)制計(jì)數(shù)器,而根據(jù)設(shè)計(jì)要求,時(shí)個(gè)位和時(shí)十位計(jì)數(shù)器為24進(jìn)制計(jì)數(shù)器。 譯碼驅(qū)動(dòng)電路將計(jì)數(shù)器輸出的8421

9、BCD碼轉(zhuǎn)換為數(shù)碼管需要的邏輯狀態(tài),并且為保證數(shù)碼管正常工作提供足夠的工作電流。</p><p>  顯示電路的組成主要是數(shù)碼管,數(shù)碼管由7個(gè)發(fā)光二極管組成,行成一個(gè)日字形,它門(mén)可以共陰極,也可以共陽(yáng)極,本設(shè)計(jì)中為共陰極七段顯示LED數(shù)碼管。</p><p>  當(dāng)重新接通電源或走時(shí)出現(xiàn)誤差時(shí)都需要對(duì)時(shí)間進(jìn)行校正,所以數(shù)字鐘應(yīng)具有分校正和時(shí)校正功能。對(duì)校時(shí)電路的要求是:在小時(shí)校正時(shí)不影響分

10、和秒的正常計(jì)數(shù);在分校正時(shí)不影響秒和小時(shí)的正常計(jì)數(shù)。</p><p>  2 數(shù)字鐘單元電路的設(shè)計(jì) 2.1振蕩器電路設(shè)計(jì) </p><p>  圖2-1 用555定時(shí)器組成振蕩器的電路</p><p>  2.2時(shí)間計(jì)數(shù)單元設(shè)計(jì)</p><p>  時(shí)間計(jì)數(shù)單元由時(shí)計(jì)數(shù)、分計(jì)數(shù)和秒計(jì)數(shù)等幾個(gè)部分組成。時(shí)計(jì)數(shù)單元為24數(shù)器計(jì)數(shù),其輸出為

11、兩位8421BCD碼形式,分計(jì)數(shù)和秒計(jì)數(shù)單元為60進(jìn)制計(jì)數(shù)器,其輸出也為8421BCD碼。本實(shí)驗(yàn)采取了用兩塊74LS90芯片進(jìn)行級(jí)聯(lián)來(lái)產(chǎn)生60進(jìn)制和24進(jìn)制計(jì)數(shù)器。</p><p>  2.2.1集成異步計(jì)數(shù)器74LS90</p><p>  74LS90是異步二—五—十進(jìn)制加法計(jì)數(shù)器,它既可以作二進(jìn)制加法計(jì)數(shù)器,又可以作五進(jìn)制和十進(jìn)制加法計(jì)數(shù)器。如圖2-2為74LS90引腳圖,表2.1為

12、74LS90的功能表。</p><p>  圖2-2 74LS90引腳圖</p><p>  表2-1 74LS90的功能表</p><p>  通過(guò)不同的連接方式,74LS90可以實(shí)現(xiàn)四種不同的邏輯功能,而且還可借助R01、R02對(duì)計(jì)數(shù)器清零,借助S91、S92將計(jì)數(shù)器置9。其具體功能詳述如下:</p><p>  (1)計(jì)數(shù)脈沖從

13、INA輸入,QA作為輸出端,為二進(jìn)制計(jì)數(shù)器。</p><p>  (2)計(jì)數(shù)脈沖從INB輸入,QDQCQB作為輸出端,為異步五進(jìn)制加法計(jì)數(shù)器。</p><p>  (3)若將INB和QA相連,計(jì)數(shù)脈沖由INA輸入,QD、QC、QB、QA作為輸出端,</p><p>  則構(gòu)成異步8421碼十進(jìn)制加法計(jì)數(shù)器。</p><p>  (4)若將IN

14、A與QD相連,計(jì)數(shù)脈沖由INB輸入,QA、QD、QC、QB作為輸出端,</p><p>  則構(gòu)成異步5421碼十進(jìn)制加法計(jì)數(shù)器。</p><p>  (5)清零、置9功能。</p><p><b>  異步清零</b></p><p>  當(dāng)R01、R02均為“1”,S91、S92中有“0”時(shí),實(shí)現(xiàn)異步清零功能,即QD

15、QCQBQA=0000。</p><p><b>  置9功能</b></p><p>  當(dāng)S91、S92均為“1”;R01、R02中有“0”時(shí),實(shí)現(xiàn)置9功能,即QDQCQBQA=1001。</p><p>  2.2.2 用74LS90構(gòu)成秒和分計(jì)數(shù)器電路</p><p>  秒個(gè)位計(jì)數(shù)單元為10計(jì)數(shù)器,無(wú)需進(jìn)制轉(zhuǎn)換

16、,只需將QA與INB相連即可。INA與1HZ秒輸入信號(hào)相連,QD可作為進(jìn)位信號(hào)與十位計(jì)數(shù)單元的INA相連。秒十位計(jì)數(shù)單元為6進(jìn)制計(jì)數(shù)器,需要進(jìn)制轉(zhuǎn)換。將10進(jìn)制計(jì)數(shù)器轉(zhuǎn)換為6進(jìn)制計(jì)數(shù)器的電路連接方法為:將QB ,QC分別與兩個(gè)清零端R01,R02相連接。QC可作為進(jìn)位信號(hào)與分個(gè)位的計(jì)數(shù)單元的INA相連,如圖2-3所示。</p><p>  圖2-3 秒和分計(jì)數(shù)器的連接電路圖</p><p&g

17、t;  分個(gè)位和分十位計(jì)數(shù)單元電路結(jié)構(gòu)分別與秒個(gè)位和秒十位計(jì)數(shù)單元完全相同,也是分個(gè)位計(jì)數(shù)單元的QD作為進(jìn)位信號(hào)與分十位計(jì)數(shù)單元的INA相連,分十位計(jì)數(shù)單元的QC作為進(jìn)位信號(hào)應(yīng)與時(shí)個(gè)位計(jì)數(shù)單元的INB相連。秒十位計(jì)數(shù)單元為6進(jìn)制計(jì)數(shù)器,需要進(jìn)制轉(zhuǎn)換,將10進(jìn)制計(jì)數(shù)器轉(zhuǎn)換為6進(jìn)制計(jì)數(shù)器的電路連接方法為:將QB ,QC分別與兩個(gè)清零端R01,R02相連接。 </p><p>  2.2.3用74LS90構(gòu)成時(shí)計(jì)數(shù)器電

18、路</p><p>  時(shí)個(gè)位計(jì)數(shù)單元電路結(jié)構(gòu)仍與秒個(gè)位計(jì)數(shù)單元相同,但是要求整個(gè)時(shí)計(jì)數(shù)單元應(yīng)為24進(jìn)制計(jì)數(shù)器,所以在兩塊74LS90構(gòu)成的100進(jìn)制中截取24,就得在24的時(shí)候進(jìn)行異步清零。清零方法為:將兩片74LS90的兩個(gè)清零端R01和R02分別連接起來(lái),再將時(shí)個(gè)位的QB 與R01相連,將時(shí)十位的QC 與R02相連接。如圖2-4所示電路</p><p>  圖2-4 時(shí)計(jì)數(shù)器連接電

19、路圖</p><p>  2.2.4 時(shí)間計(jì)數(shù)單元總電路</p><p>  如圖2-5所示電路為數(shù)字鐘的時(shí)間計(jì)數(shù)單元電路連接圖,從圖中可以看出,所有的置9端及接地端都接地,所有74LS90的VCC都接上電源。</p><p>  圖2-5 數(shù)字鐘的時(shí)間計(jì)數(shù)單元電路連接圖</p><p>  2.3譯碼顯示單元電路設(shè)計(jì) </p>

20、;<p>  計(jì)數(shù)器實(shí)現(xiàn)了對(duì)時(shí)間的累計(jì)以8421BCD碼形式輸出,譯碼驅(qū)動(dòng)電路將計(jì)數(shù)器輸出的8421BCD碼轉(zhuǎn)換為數(shù)碼管需要的邏輯狀態(tài),并且為七段數(shù)碼管的正常工作提供足夠的工作電流。</p><p>  譯碼器是一個(gè)多輸入、多輸出的組合邏輯電路。它的工作是把給定的代碼進(jìn)行“翻譯”,變成相應(yīng)的狀態(tài),使輸出通道中相應(yīng)的一路有信號(hào)輸出。譯碼器在數(shù)字系統(tǒng)中有廣泛的用途,不僅用于代碼的轉(zhuǎn)換、終端的數(shù)字顯示,還

21、用于數(shù)字分配,存儲(chǔ)器尋址和組合控制信號(hào)等。譯碼器可以分為通用譯碼器和顯示譯碼器兩大類。用于驅(qū)動(dòng)LED七段數(shù)碼顯示常用的有74LS48。</p><p>  2.3.1譯碼器74LS48</p><p>  74LS48是BCD-7段譯碼器/驅(qū)動(dòng)器,其輸出是OC門(mén)輸出且高電平有效,專用于驅(qū)動(dòng)LED七段共陰極顯示數(shù)碼管。其功能是把輸入的8421BCD碼ABCD譯成七段輸出a-g,再由七段數(shù)碼管

22、顯示相應(yīng)的數(shù)。由74LS48和LED七段共陰極數(shù)碼管組成一位數(shù)碼顯示電路。若將“秒”、“分”、“時(shí)”計(jì)數(shù)器的每位輸出分別接到相應(yīng)七段譯碼器的輸入端,便可進(jìn)行不同數(shù)字顯示。在譯碼器輸出與數(shù)碼管之間串聯(lián)的R為限流電阻。當(dāng)數(shù)字鐘的計(jì)數(shù)器在CP脈沖的作用下,就應(yīng)將其狀態(tài)顯示成清晰的數(shù)字符號(hào), </p><p>  74LS48的管腳如圖2-6。在管腳圖中,管腳LT、RBI、BI/RBO都是低電平是起作用,作用分別為:&l

23、t;/p><p>  LT為燈測(cè)檢查,用LT可檢查七段顯示器個(gè)字段是否能正常被點(diǎn)燃。</p><p>  BI是滅燈輸入,可以使顯示燈熄滅。</p><p>  RBI是滅零輸入,可以按照需要將顯示的零予以熄滅。BI/RBO是共用輸出端,RBO稱為滅零輸出端,可以配合滅零輸出端RBI,在多位十進(jìn)制數(shù)表示時(shí),把多余零位熄滅掉,以提高視圖的清晰度。</p>&

24、lt;p>  圖2-6 74LS48 的管腳圖</p><p>  74LS48的功能:74LS48的功能表如下表所示:</p><p>  表2-2 74LS48 BCD七段譯碼驅(qū)動(dòng)器功能表</p><p> ?。?)譯碼功能:將LT,RBI和BI/RBO端接高電平,輸入十進(jìn)制數(shù)0~9的任意一組8421BCD碼(原碼),則輸出端a~g也會(huì)得到一組相應(yīng)的7位

25、二進(jìn)制代碼(74LS48驅(qū)動(dòng)共陰極,輸出3FH、06H、5BH…;74LS47驅(qū)動(dòng)共陽(yáng)極,輸出COH、F9H、A4H…)。如果將這組代碼輸入到數(shù)碼管,就可以顯示出相應(yīng)的十進(jìn)制數(shù)。</p><p> ?。?)試燈功能:給試燈輸入加低電平,而B(niǎo)I/RBO端加高電平時(shí),則輸出端a~g均為高電平。若將其輸入數(shù)碼管,則所有的顯示段都發(fā)亮。此功能可以用于檢查數(shù)碼管的好壞。</p><p>  (3)滅

26、燈功能:將低電平加于滅燈輸入時(shí),不管其他輸入為什么電平,所有輸出端都為低電平。將這樣的輸出信號(hào)加至數(shù)碼管,數(shù)碼管將不發(fā)亮。</p><p> ?。?)動(dòng)態(tài)滅燈功能:RBI端為滅零輸入端,其作用是將數(shù)碼管顯示的數(shù)字0熄滅。當(dāng)RBI=0,且DCBA=0000時(shí),若LT=1,a~g輸出為低電平,數(shù)碼管無(wú)顯示。利用該滅零端,可熄滅多位顯示中不需要的零。不需要滅零時(shí),RBI=1。</p><p> 

27、 2.3.2顯示器LG5011AH</p><p>  圖2-7是共陰極式LED數(shù)碼管的原理圖,使用時(shí)公共陰極接地,使每個(gè)發(fā)光二極管都處于導(dǎo)通狀態(tài),而且這7個(gè)發(fā)光二極管a到g分別由相應(yīng)的BCD七段譯碼器來(lái)驅(qū)動(dòng)。</p><p>  圖 2-7 共陰極LED數(shù)碼管的原理圖</p><p>  在這里,我們選用型號(hào)為L(zhǎng)G5011AH的數(shù)碼管,LG5011AH的管腳功能

28、圖如圖2-8所示, </p><p>  圖2-8 LG5011AH的管腳圖</p><p>  2.3.3譯碼顯示電路</p><p>  譯碼顯示電路由共陰極譯碼器74LS48和七段數(shù)碼管LED組成。74LS48和LG5011AH的連接圖如圖2-9所示。</p><p>  圖2-9,譯碼顯示電路</p><p>

29、  2.4 校時(shí)單元電路設(shè)計(jì) </p><p>  當(dāng)重新接通電源或走時(shí)出現(xiàn)誤差時(shí)都需要對(duì)時(shí)間進(jìn)行校正,所以數(shù)字鐘應(yīng)具有分校正和時(shí)校正功能。對(duì)校時(shí)電路的要求是:在小時(shí)校正時(shí)不影響分和秒的正常計(jì)數(shù);在分校正時(shí)不影響秒和小時(shí)的正常計(jì)數(shù),所以,必須要有兩個(gè)控制開(kāi)關(guān)分別控制分個(gè)位和十個(gè)位的脈沖信號(hào)。在校時(shí)時(shí),應(yīng)截?cái)喾謧€(gè)位或者時(shí)個(gè)位的直接計(jì)數(shù)通路,并采用正常計(jì)時(shí)信號(hào)與校正信號(hào)可以隨時(shí)切換的電路接入其中。 </p>

30、;<p>  圖2-10為?!皶r(shí)”、?!胺帧彪娐贰F渲蠸1為?!胺帧庇玫目刂崎_(kāi)關(guān),S2為?!皶r(shí)”用的控制開(kāi)關(guān)。</p><p>  圖2-10 校時(shí)電路</p><p>  3 數(shù)字鐘的實(shí)現(xiàn)電路及其工作原理</p><p>  數(shù)字鐘的完整電路圖如圖3-1所示:</p><p>  數(shù)字鐘的工作原理:首先給秒個(gè)位的INA端輸入一

31、個(gè)標(biāo)準(zhǔn)秒脈沖信號(hào)(此信號(hào)即為555脈沖發(fā)生器產(chǎn)生的標(biāo)準(zhǔn)脈沖信號(hào)。</p><p>  (1)J3,J4開(kāi)關(guān)都打向上邊時(shí),數(shù)字鐘開(kāi)始計(jì)數(shù),其中,秒、分為60進(jìn)制計(jì)數(shù),時(shí)為24進(jìn)制計(jì)數(shù)。</p><p> ?。?)J3打向上邊,J4打向下邊時(shí),可以進(jìn)行校分功能:手動(dòng)產(chǎn)生單次脈沖作校時(shí)脈沖,即每撥動(dòng)校時(shí)開(kāi)關(guān)J1一個(gè)來(lái)回,計(jì)數(shù)器計(jì)數(shù)一次,多次撥動(dòng)開(kāi)關(guān)J1就可以進(jìn)行準(zhǔn)確校時(shí)。</p>

32、<p> ?。?)J3打向下邊,J4打向上邊時(shí),可以進(jìn)行校時(shí)功能,其方法與校分的方法相同。</p><p>  圖3-1 數(shù)字鐘原理圖</p><p><b>  4電路的安裝與調(diào)試</b></p><p>  安裝與調(diào)試步驟:按照?qǐng)D3.1所示的數(shù)字鐘系統(tǒng)組成框圖,先將秒個(gè)位、秒十位、分個(gè)位、分十位、時(shí)個(gè)位、時(shí)十位分級(jí)焊接,在經(jīng)過(guò)調(diào)試

33、沒(méi)有出現(xiàn)問(wèn)題后再將它們一一逐級(jí)級(jí)聯(lián),級(jí)聯(lián)后再進(jìn)行整體計(jì)時(shí)電路的調(diào)試,若此電路能夠進(jìn)行正常計(jì)數(shù),那么一個(gè)完整的計(jì)時(shí)電路就出來(lái)了。最后分別進(jìn)行秒脈沖發(fā)生器及調(diào)時(shí)電路的安裝,經(jīng)調(diào)試沒(méi)有出現(xiàn)問(wèn)題,再將它們與計(jì)時(shí)電路連接。最后進(jìn)行整體電路(即數(shù)字鐘)的調(diào)試。</p><p>  在焊接實(shí)物與調(diào)試這個(gè)階段,出現(xiàn)了比較多的問(wèn)題,但是經(jīng)過(guò)我們幾個(gè)人的討論及分析,最終找到了解決方案。</p><p>  首

34、先,在剛開(kāi)始焊板子的時(shí)候,由于不熟悉,不小心把管腳焊錯(cuò),甚至將整個(gè)底座的極性搞反,導(dǎo)致電路不工作。解決方法就是用吸錫器把焊錯(cuò)地方的錫先吸走,再一個(gè)人拿烙鐵,一個(gè)人拔底座,將底座弄出來(lái)重新焊上去。</p><p>  其次,由于芯片接觸不良的問(wèn)題,用萬(wàn)用表歐姆檔檢測(cè),發(fā)現(xiàn)有幾個(gè)引腳本該相通的地方卻未通,而檢測(cè)的導(dǎo)線狀況良好,其解決方法為把芯片拔出,根據(jù)板子孔的的狀況重新調(diào)整其引腳,使其正對(duì)于孔,再用力均勻地將芯片插

35、入面包板中,此后發(fā)現(xiàn)能正常顯示。</p><p>  在檢測(cè)驅(qū)動(dòng)電路的過(guò)程中發(fā)現(xiàn)數(shù)碼管不能正常顯示的狀況,經(jīng)檢驗(yàn)發(fā)現(xiàn)主要是由于接觸不良的問(wèn)題,其中包括線的接觸不良和芯片的接觸不良,在實(shí)驗(yàn)過(guò)程中,數(shù)碼管有幾段二極管時(shí)隱時(shí)現(xiàn),有時(shí)會(huì)消失。 用5V電源對(duì)數(shù)碼管進(jìn)行檢測(cè),一端接地,另一端接觸每一段二極管,發(fā)現(xiàn)二極管能正常顯示的,再用萬(wàn)用表歐姆檔檢測(cè)每一根線是否接觸良好,在檢測(cè)過(guò)程中發(fā)現(xiàn)有幾根線有時(shí)能接通,有時(shí)不能接通,把

36、接觸不好的線重新接過(guò)后發(fā)現(xiàn)能正常顯示了。</p><p>  在進(jìn)行分十位的調(diào)試時(shí),發(fā)現(xiàn)數(shù)碼管的七段顯示中有一段不亮,檢查電路發(fā)現(xiàn)數(shù)碼管與譯碼管相連接的七個(gè)應(yīng)該是200Ω的電阻,有一個(gè)卻誤焊上了100Ω。解決方法就是將那個(gè)電阻換上正確阻值的電阻。</p><p>  在測(cè)試校準(zhǔn)電路時(shí)發(fā)現(xiàn)撥動(dòng)一次脈沖開(kāi)關(guān),計(jì)數(shù)器并不能準(zhǔn)確加1,有時(shí)會(huì)出現(xiàn)加2的現(xiàn)象。究其原因發(fā)現(xiàn)僅僅由開(kāi)關(guān)構(gòu)成的校準(zhǔn)電路有抖動(dòng)

37、現(xiàn)象,使得計(jì)數(shù)器計(jì)時(shí)不準(zhǔn)確。解決方法就是在原有的校準(zhǔn)電路中加焊一個(gè)防抖動(dòng)電路,用基本RS觸發(fā)器就可以實(shí)現(xiàn)這個(gè)目的。</p><p><b>  5課程設(shè)計(jì)心得體會(huì)</b></p><p>  通過(guò)本次課程設(shè)計(jì),我明白了一個(gè)道理:無(wú)論做什么事情,都必需養(yǎng)成嚴(yán)謹(jǐn),認(rèn)真,善思的工作作風(fēng).我這畢業(yè)設(shè)計(jì)由于我采用的是數(shù)字電路來(lái)實(shí)現(xiàn)的,所以電路較復(fù)雜,但是容易理解.每一部分我都能

38、理解并且能有多種設(shè)計(jì)方法.</p><p>  時(shí)間很緊,這一周又在忙碌中過(guò)去了,經(jīng)過(guò)一周的數(shù)電課程設(shè)計(jì),我從原先看見(jiàn)電路圖就一頭霧水到現(xiàn)在能夠設(shè)計(jì)復(fù)雜的數(shù)字鐘電路,并且能夠?qū)崿F(xiàn)電路的仿真與實(shí)物板的制作與調(diào)試,之間的巨大變化著實(shí)令人吃驚。但是這種進(jìn)步來(lái)之不易,因?yàn)檫@期間我遇到了很多的困難,發(fā)現(xiàn)了很多的問(wèn)題,正是在解決問(wèn)題的期間我才慢慢地熟悉了數(shù)字電子技術(shù)基礎(chǔ)的基礎(chǔ)知識(shí),才慢慢學(xué)會(huì)了如何去按照給定的要求設(shè)計(jì)出合適的

39、電路,作出電路的實(shí)物并對(duì)電路進(jìn)行調(diào)試。</p><p>  本次課程設(shè)計(jì)主要是運(yùn)用本學(xué)期所學(xué)到的數(shù)字電子技術(shù)基礎(chǔ)知識(shí)來(lái)設(shè)計(jì)一個(gè)符合要求的數(shù)字鐘,本次設(shè)計(jì)不僅要求我們要掌握數(shù)字電子技術(shù)基礎(chǔ)課程的基礎(chǔ)知識(shí),還要求我們對(duì)數(shù)字鐘的各個(gè)組成部分的原理,包括振蕩器的原理、計(jì)數(shù)器的原理、譯碼驅(qū)動(dòng)原理和校時(shí)原理都有深刻的理解和掌握,本次課程設(shè)計(jì)最重要的是要求我們能夠運(yùn)用所學(xué)的知識(shí)將幾種單元電路組合起來(lái),并且能夠根據(jù)給定性能指標(biāo)求

40、解電路中的參數(shù),最后在實(shí)踐方面還要求我們要有一定的動(dòng)手能力,能夠根據(jù)電路圖買到我們所需的原件,制作出電路板,并調(diào)試電路板。</p><p>  課設(shè)的這段日子真的是給我留下了很深的印象。我總結(jié)出,在每次課設(shè)中,遇到問(wèn)題最好的辦法就是請(qǐng)教別人,因?yàn)槊總€(gè)人掌握的情況都不一樣,一個(gè)人不可能做到處處都懂,必須發(fā)揮群眾的力量,復(fù)雜的事情才能夠簡(jiǎn)單化。這一點(diǎn)我深有體會(huì),在很多時(shí)候,我遇到的困難或許別人之前就遇到過(guò),向他們請(qǐng)教

41、遠(yuǎn)比自己在那邊摸索來(lái)得簡(jiǎn)單,來(lái)得快。</p><p>  雖然我現(xiàn)在已經(jīng)初步學(xué)會(huì)了如何設(shè)計(jì)符合要求的數(shù)字鐘電路,但是離真正能夠利用已學(xué)的數(shù)電知識(shí)自由設(shè)計(jì)使用電路的還有一段的距離。課設(shè)的這段時(shí)間我確實(shí)受益匪淺,不僅是因?yàn)樗l(fā)生在特別的實(shí)踐,更重要的是我的專業(yè)知識(shí)又有了很大的進(jìn)步,因?yàn)檫M(jìn)步總是讓人快樂(lè)的。</p><p><b>  參考文獻(xiàn)</b></p>

42、<p>  [1] 伍時(shí)和主編. 數(shù)字電子技術(shù)基礎(chǔ). 清華大學(xué)出版社,2009年4月</p><p>  [2] 高建新等主編. 電子技術(shù)實(shí)驗(yàn)與實(shí)訓(xùn). 機(jī)械工業(yè)出版社,2006年8月</p><p>  [3] 高吉祥主編. 電子技術(shù)基礎(chǔ)實(shí)驗(yàn)與課程設(shè)計(jì). 電子工業(yè)出版社,2002年</p><p>  [4] 趙淑范等主編. 電子技術(shù)實(shí)驗(yàn)與課程設(shè)計(jì). 清

43、華大學(xué)出版社,2006年8月</p><p>  [5] 孫淑艷主編. 電子技術(shù)實(shí)踐教學(xué)指導(dǎo)書(shū). 中國(guó)電力出版社,2005年10月</p><p>  [6] 毛期儉主編. 數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)及應(yīng)用. 人民郵電出版社,2005年</p><p>  [7] 呂思忠等主編. 數(shù)字電路實(shí)驗(yàn)與課程設(shè)計(jì). 哈爾濱工程大學(xué)出版社,2003年</p><

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論