版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、<p> 課 程 設(shè) 計(jì) 報(bào) 告</p><p> 課程名稱 數(shù)字系統(tǒng)與邏輯設(shè)計(jì) </p><p> 課題名稱 出租車計(jì)費(fèi)器的設(shè)計(jì) </p><p> 專 業(yè) </p><p> 班 級(jí) </p
2、><p> 學(xué) 號(hào) </p><p> 姓 名 </p><p> 指導(dǎo)教師 </p><p> 2013年 7月 7日</p><p> 課 程 設(shè) 計(jì) 任 務(wù) 書</p><p>
3、 課程名稱 數(shù)字系統(tǒng)與邏輯設(shè)計(jì) </p><p> 課 題 出租車計(jì)費(fèi)器的設(shè)計(jì) </p><p> 專業(yè)班級(jí) </p><p> 學(xué)生姓名 </p><p> 學(xué) 號(hào) </p&
4、gt;<p> 指導(dǎo)老師 </p><p> 審 批 </p><p> 任務(wù)書下達(dá)日期 2013 年 6月 29日</p><p> 任務(wù)完成日期 2013 年 7月 7日</p><p> 《數(shù)字系統(tǒng)與邏輯設(shè)
5、計(jì)》課程設(shè)計(jì)任務(wù)書</p><p><b> 一 、設(shè)計(jì)目的</b></p><p> 全面熟悉、掌握VHDL語(yǔ)言基本知識(shí),掌握利用VHDL語(yǔ)言對(duì)常用的的組合邏輯電路和時(shí)序邏輯電路編程,把編程和實(shí)際結(jié)合起來(lái),熟悉編制和調(diào)試程序的技巧,掌握分析結(jié)果的若干有效方法,進(jìn)一步提高上機(jī)動(dòng)手能力,培養(yǎng)使用設(shè)計(jì)綜合電路的能力,養(yǎng)成提供文檔資料的習(xí)慣和規(guī)范編程的思想。 </
6、p><p><b> 二、設(shè)計(jì)要求</b></p><p> 1、設(shè)計(jì)正確,方案合理。</p><p> 2、程序精煉,結(jié)構(gòu)清晰。</p><p> 3、設(shè)計(jì)報(bào)告5000字以上,含程序設(shè)計(jì)說明,用戶使用說明,源程序清單及程序框圖。</p><p><b> 4、上機(jī)演示。</
7、b></p><p> 5、有詳細(xì)的文檔。文檔中包括設(shè)計(jì)思路、設(shè)計(jì)仿真程序、仿真結(jié)果及相應(yīng)的分析與結(jié)論。 </p><p><b> 三、進(jìn)度安排</b></p><p> 第十九周 星期一: 課題講解,查閱資料</p><p> 星期二: 總體設(shè)計(jì),詳細(xì)設(shè)計(jì)</p><p>
8、 星期三: 編程,上機(jī)調(diào)試、修改程序</p><p> 星期四: 上機(jī)調(diào)試、完善程序</p><p><b> 星期五: 答辯</b></p><p> 星期六-星期天:撰寫課程設(shè)計(jì)報(bào)告</p><p><b> 附:</b></p><p> 課程設(shè)計(jì)報(bào)告
9、裝訂順序:封面、任務(wù)書、目錄、正文、評(píng)分、附件(A4大小的圖紙及程序清單)。 </p><p> 正文的格式:一級(jí)標(biāo)題用3號(hào)黑體,二級(jí)標(biāo)題用四號(hào)宋體加粗,正文用小四號(hào)宋體;行距為22。</p><p> 正文的內(nèi)容:一、課題的主要功能;二、課題的功能模塊的劃分(要求畫出模塊圖);三、主要功能的實(shí)現(xiàn);四、系統(tǒng)調(diào)試與仿真;五、總結(jié)與體會(huì);六、附件(所有程序的原代碼,要求對(duì)程序?qū)懗霰匾淖?/p>
10、釋);七、評(píng)分表。</p><p><b> 目 錄</b></p><p> 一. 系統(tǒng)的總體設(shè)計(jì)1</p><p> 1.1 問題描述1</p><p> 1.2 設(shè)計(jì)思想1</p><p> 1.3 引腳分配1</p><p> 二.出租車計(jì)費(fèi)功
11、能的實(shí)現(xiàn)2</p><p> 2.1 系統(tǒng)的總體框圖2</p><p> 2.2 程序流程圖3</p><p> 2.3 系統(tǒng)各功能模塊的實(shí)現(xiàn)4</p><p> 四. 調(diào)試與仿真8</p><p> 五. 總結(jié)與體會(huì)9</p><p><b> 六. 附件1
12、0</b></p><p><b> 七. 評(píng)分表15</b></p><p> 一. 系統(tǒng)的總體設(shè)計(jì)</p><p><b> 1.1 問題描述</b></p><p> 出租車計(jì)費(fèi)器一般都是按公里計(jì)費(fèi),通常是起步價(jià)xx 元(xx 元可以行走x公里),然后再是xx 元/公里
13、。要完成一個(gè)出租車計(jì)費(fèi)器,就要有兩個(gè)計(jì)數(shù)單位,一個(gè)用來(lái)計(jì)公里,另外一個(gè)用來(lái)計(jì)費(fèi)用。通常在出租車的輪子上都有傳感器,用來(lái)記錄車輪轉(zhuǎn)動(dòng)的圈數(shù),而車輪子的周長(zhǎng)是固定的,所以知道了圈數(shù)自然也就知道了里程。在這個(gè)實(shí)驗(yàn)中,就要模擬出租車計(jì)費(fèi)器的工作過程,用直流電機(jī)模擬出租車輪子,通過傳感器,可以得到電機(jī)每轉(zhuǎn)一周輸出一個(gè)脈沖波形。 (有條件的同學(xué)再完成:顯示用8 個(gè)七段碼管,前四個(gè)顯示里程,后四個(gè)顯示費(fèi)用。)</p><p>
14、<b> 1.2 設(shè)計(jì)思想</b></p><p> 該出租車計(jì)費(fèi)器按下開關(guān)S1后開始計(jì)費(fèi)和計(jì)里程數(shù),起步價(jià)是3元,準(zhǔn)行1公里,以后1元/公里。時(shí)鐘輸入為一個(gè)1KHz的系統(tǒng)時(shí)鐘,直流電機(jī)模塊每轉(zhuǎn)一圈輸出一個(gè)脈沖信號(hào)給CPU,另外用按鍵模塊的S1 來(lái)作為整個(gè)系統(tǒng)的復(fù)位按鈕,每復(fù)位一次,計(jì)費(fèi)器從頭開始計(jì)費(fèi)。直流電機(jī)模塊用來(lái)模擬出租車的車輪子,每轉(zhuǎn)動(dòng)一圈認(rèn)為是行走1 米,所以每旋轉(zhuǎn)1000 圈
15、,認(rèn)為車子前進(jìn)1 公里。系統(tǒng)設(shè)計(jì)是需要檢測(cè)電機(jī)的轉(zhuǎn)動(dòng)情況,每轉(zhuǎn)1000圈,分頻模塊輸出一個(gè)上升沿信號(hào)。車費(fèi)和里程數(shù)在一個(gè)8位7段數(shù)碼管上顯示,前4位顯示里程,后4位顯示費(fèi)用。</p><p><b> 1.3 引腳分配</b></p><p><b> 顯示:</b></p><p> 動(dòng)態(tài)八位七段數(shù)碼管模塊<
16、/p><p><b> 時(shí)鐘:</b></p><p> 直流電機(jī)脈沖輸入:</p><p><b> 復(fù)位按鍵</b></p><p> 二.出租車計(jì)費(fèi)功能的實(shí)現(xiàn)</p><p> 2.1 系統(tǒng)的總體框圖</p><p> 該出租車計(jì)費(fèi)系統(tǒng)
17、由三個(gè)模塊組成,分別是:</p><p> 計(jì)費(fèi)模塊:計(jì)算應(yīng)付車費(fèi)</p><p> 計(jì)程模塊:計(jì)錄已行里程</p><p> 顯示模塊:將應(yīng)付車費(fèi)和已行里程顯示在數(shù)碼管上</p><p> 各模塊之間的關(guān)系為:</p><p><b> 2 程序流程圖</b></p>&
18、lt;p><b> 計(jì)算路程框圖:</b></p><p> 計(jì)算出租車計(jì)費(fèi)框圖:</p><p> 2.3 系統(tǒng)各功能模塊的實(shí)現(xiàn)</p><p><b> 計(jì)算距離模塊:</b></p><p> REG:PROCESS(s1,meter) </p><p&
19、gt;<b> begin</b></p><p> if s1='1' then </p><p><b> q1<=0;</b></p><p><b> q2<=0;</b></p><p><b> q3<=0;&l
20、t;/b></p><p><b> q4<=0;</b></p><p> elsif meter'event and meter='1' then --clk'event and clk='1' then</p><p> if q4=9 then</p>
21、<p><b> q4<=0;</b></p><p> if q3=9 then</p><p><b> q3<=0;</b></p><p> if q2=9 then</p><p><b> q2<=0;</b></p>
22、;<p><b> q1<=q1+1;</b></p><p><b> else</b></p><p><b> q2<=q2+1;</b></p><p><b> end if;</b></p><p><b
23、> else</b></p><p> q3<=q3+1; </p><p><b> end if;</b></p><p><b> else</b></p><p><b> q4<=q4+1;</b></p><
24、;p><b> end if;</b></p><p><b> end if;</b></p><p><b> --end if;</b></p><p> end process REG;</p><p><b> 計(jì)費(fèi)距離模塊:</b&g
25、t;</p><p> COM:process( q1) </p><p><b> begin</b></p><p> if q1<7 then</p><p><b> a4<=q1+3;</b></p><p> else if q1=7 t
26、hen</p><p><b> a4<=0;</b></p><p> if a3=9 then</p><p><b> a3<=0;</b></p><p> if a2=9 then</p><p><b> a2<=0;<
27、/b></p><p><b> a1<=a1+1;</b></p><p><b> else</b></p><p><b> a2<=a2+1;</b></p><p><b> end if;</b></p>
28、<p><b> else</b></p><p><b> a3<=a3+1;</b></p><p><b> end if;</b></p><p> else if q1=8 then</p><p><b> a4<=1;&
29、lt;/b></p><p> if a3=9 then </p><p><b> a3<=0;</b></p><p> if a2=9 then</p><p><b> a2<=0;</b></p><p><b> a1<
30、=a1+1;</b></p><p><b> else</b></p><p><b> a2<=a2+1;</b></p><p><b> end if;</b></p><p><b> else</b></p>
31、;<p><b> a3<=a3+1;</b></p><p><b> end if;</b></p><p> else if q1=9 then</p><p><b> a4<=2;</b></p><p> if a3=9 then
32、 </p><p><b> a3<=0;</b></p><p> if a2=9 then</p><p><b> a2<=0;</b></p><p><b> a1<=a1+1;</b></p><p><b&g
33、t; else</b></p><p><b> a2<=a2+1;</b></p><p><b> end if;</b></p><p><b> else</b></p><p><b> a3<=a3+1;</b>
34、;</p><p><b> end if;</b></p><p> end if;</p><p><b> end if;</b></p><p><b> end if;</b></p><p><b> end if;
35、</b></p><p> end process COM;</p><p><b> 顯示模塊:</b></p><p> CAM:process(clk)</p><p><b> begin</b></p><p> if clk'even
36、t and clk='1' then </p><p> cout<=cout+1;</p><p><b> end if;</b></p><p> end process CAM;</p><p> pra:process(cout)</p><p><b
37、> begin</b></p><p> case cout is</p><p> when "000"=> wx<="10000000";shuju<=q1;</p><p> when "001"=> wx<="01000000&quo
38、t;;shuju<=q2;</p><p> when "010"=> wx<="00100000";shuju<=q3;</p><p> when "011"=> wx<="00010000";shuju<=q4;</p><p> w
39、hen "100"=> wx<="00001000";shuju<=a1;</p><p> when "101"=> wx<="00000100";shuju<=a2;</p><p> when "110"=> wx<="00
40、000010";shuju<=a3;</p><p> when "111"=> wx<="00000001";shuju<=a4;</p><p><b> end case;</b></p><p> end process pra;</p>&l
41、t;p> process(shuju) </p><p><b> begin</b></p><p> case shuju is</p><p> when 0=>duan<="0111111"; --0</p><p> when 1=>duan<=&qu
42、ot;0000110"; --1</p><p> when 2=>duan<="1011011"; --2</p><p> when 3=>duan<="1001111"; --3</p><p> when 4=>duan<="1100110"; -
43、-4</p><p> when 5=>duan<="1101101"; --5</p><p> when 6=>duan<="1111101"; --6</p><p> when 7=>duan<="0000111"; --7</p><p
44、> when 8=>duan<="1111111"; --8</p><p> when 9=>duan<="1101111"; --9</p><p> when others=>null; </p><p><b> end case;</b></p&
45、gt;<p> end process; </p><p><b> 四. 調(diào)試與仿真</b></p><p> 在調(diào)試過程中,出現(xiàn)了很多的問題,在編譯時(shí)出現(xiàn)同時(shí)賦值的現(xiàn)象:</p><p> 圖4 同時(shí)賦值現(xiàn)象</p><p> 在程序使用軟件仿真時(shí),出現(xiàn)了問題;開始使用10進(jìn)制數(shù)進(jìn)行
46、仿真時(shí)由于在計(jì)費(fèi)時(shí),開始不適應(yīng)復(fù)位信號(hào),就會(huì)出現(xiàn)幾公里幾塊錢,一但經(jīng)過復(fù)位之后程序使用就正常了,這是有與我在使用時(shí)沒有復(fù)位時(shí),不給初值一樣,后來(lái)經(jīng)過修改之后就正常了。</p><p><b> 圖5 計(jì)費(fèi)仿真</b></p><p><b> 圖6 距離仿真</b></p><p> 圖7 出租車計(jì)費(fèi)仿真</
47、p><p><b> 五. 總結(jié)與體會(huì)</b></p><p> 通過這次緊張而又充實(shí)的EDA課程設(shè)計(jì),我感受到了VHDL語(yǔ)言和普通軟件語(yǔ)言之間的區(qū)別,也能夠更加熟練地運(yùn)用VHDL語(yǔ)言進(jìn)行邏輯電路的設(shè)計(jì)了,受益匪淺。在沒有進(jìn)行課程設(shè)計(jì)之前,我們的上機(jī)實(shí)踐從來(lái)沒有編寫過規(guī)模如此的數(shù)字電路系統(tǒng),最多就寫寫什么半加器,全加器之類的小型實(shí)體。而這次課程設(shè)計(jì)要想實(shí)現(xiàn)課題要求的功
48、能,必須將若干小型實(shí)體組合成一個(gè)相對(duì)大型的實(shí)體。不僅如此,在寫完程序后,還要把程序下載到芯片內(nèi),連接相應(yīng)的電子器件,觀察真正的效果。所以,通過這次緊張的課程設(shè)計(jì),我體會(huì)了從開始利用VHDL語(yǔ)言編程到把設(shè)想放到真正在電子器件上實(shí)現(xiàn)的全部過程,提高了自己從事工程項(xiàng)目設(shè)計(jì)的能力。</p><p> 另外,在課程設(shè)計(jì)的過程,我碰到了很多棘手的難題,雖然也進(jìn)行了苦思冥想,卻還是得不到解決方法,有時(shí)也有想放棄的念頭,不過終
49、于還是堅(jiān)持了下來(lái),完成了任務(wù),我意識(shí)到今后不管是在工作還是學(xué)習(xí)中,做工程項(xiàng)目都需要有忍耐力和堅(jiān)持。</p><p> 結(jié)果重要,過程更是我們學(xué)習(xí)的寶貴經(jīng)驗(yàn)。課程設(shè)計(jì)不僅是學(xué)習(xí)一門課,更可以鍛煉我們的實(shí)踐能力,動(dòng)手操作能力,和解決問題的能力。能夠?qū)⒄n堂上所學(xué)的知識(shí)靈活、巧妙的運(yùn)用到實(shí)踐操作上是一次很好的體驗(yàn),和機(jī)會(huì)。不僅鍛煉路我們的動(dòng)手能力,而且加深了對(duì)課本的理解和彌補(bǔ)了課本上所不能涉及到的東西。所以在對(duì)待每一次
50、的課程設(shè)計(jì)時(shí)我都很認(rèn)真,也終于通過自己的努力終于完成了此次的課程設(shè)計(jì)。</p><p><b> 六. 附件</b></p><p><b> 程序源代碼:</b></p><p> library ieee;</p><p> use ieee.std_logic_1164.all;<
51、;/p><p> use ieee.std_logic_unsigned.all;</p><p> ENTITY eda IS</p><p> PORT(clk : IN STD_LOGIC;--外部時(shí)鐘輸入口</p><p> meter : IN STD_LOGIC; -- 外部轉(zhuǎn)圈信號(hào)輸入,假定每個(gè)上升沿視為車輪轉(zhuǎn)一圈<
52、;/p><p> S1 : IN STD_LOGIC; -- 外部按鍵輸入復(fù)位信號(hào),假定低電平表示按鍵被按下,高電平表示按鍵未按下</p><p> wx:out std_logic_vector(7 downto 0);</p><p> cost1,cost2,cost3,cost4 :out integer range 0 to 9; --輸出計(jì)費(fèi)值個(gè)
53、位十位百味</p><p> dist1,dist2,dist3,dist4 : out integer range 0 to 9); --輸出公里數(shù)小數(shù)點(diǎn)后一位,個(gè)位,十位</p><p> END entity;</p><p> architecture behav of eda is</p><p> signal q1,q2
54、,q3,q4:integer range 0 to 9; --</p><p> signal a1,a2,a3,a4:integer range 0 to 9;</p><p> signal cout:STD_LOGIC_VECTOR (2 DOWNTO 0);</p><p> --signal wx:std_logic_vector(7 downto
55、 0);</p><p> signal shuju:integer range 0 to 9; --控制路程和計(jì)費(fèi)數(shù)據(jù)顯示的位置</p><p> signal duan:std_logic_vector(6 downto 0); ---控制數(shù)碼管顯示輸出</p><p><b> begin</b></p><
56、p> REG:PROCESS(s1,meter) --計(jì)算路程</p><p><b> begin</b></p><p> if s1='1' then </p><p><b> q1<=0;</b></p><p><b> q2<=
57、0;</b></p><p><b> q3<=0;</b></p><p><b> q4<=0;</b></p><p> elsif meter'event and meter='1' then --clk'event and clk='1
58、39; then</p><p> if q4=9 then</p><p><b> q4<=0;</b></p><p> if q3=9 then</p><p><b> q3<=0;</b></p><p> if q2=9 then</
59、p><p><b> q2<=0;</b></p><p><b> q1<=q1+1;</b></p><p><b> else</b></p><p><b> q2<=q2+1;</b></p><p>
60、;<b> end if;</b></p><p><b> else</b></p><p> q3<=q3+1; </p><p><b> end if;</b></p><p><b> else</b></p>&l
61、t;p><b> q4<=q4+1;</b></p><p><b> end if;</b></p><p><b> end if;</b></p><p><b> --end if;</b></p><p> end proc
62、ess REG;</p><p> COM:process( q1) --計(jì)算出租車計(jì)費(fèi)</p><p><b> begin</b></p><p> if q1<7 then</p><p><b> a4<=q1+3;</b></p><p>
63、 else if q1=7 then</p><p><b> a4<=0;</b></p><p> if a3=9 then</p><p><b> a3<=0;</b></p><p> if a2=9 then</p><p><b>
64、 a2<=0;</b></p><p><b> a1<=a1+1;</b></p><p><b> else</b></p><p><b> a2<=a2+1;</b></p><p><b> end if;</b
65、></p><p><b> else</b></p><p><b> a3<=a3+1;</b></p><p><b> end if;</b></p><p> else if q1=8 then</p><p><b&
66、gt; a4<=1;</b></p><p> if a3=9 then </p><p><b> a3<=0;</b></p><p> if a2=9 then</p><p><b> a2<=0;</b></p><p>&l
67、t;b> a1<=a1+1;</b></p><p><b> else</b></p><p><b> a2<=a2+1;</b></p><p><b> end if;</b></p><p><b> else<
68、/b></p><p><b> a3<=a3+1;</b></p><p><b> end if;</b></p><p> else if q1=9 then</p><p><b> a4<=2;</b></p><p>
69、; if a3=9 then </p><p><b> a3<=0;</b></p><p> if a2=9 then</p><p><b> a2<=0;</b></p><p><b> a1<=a1+1;</b></p>&
70、lt;p><b> else</b></p><p><b> a2<=a2+1;</b></p><p><b> end if;</b></p><p><b> else</b></p><p><b> a3<
71、=a3+1;</b></p><p><b> end if;</b></p><p> end if;</p><p><b> end if;</b></p><p><b> end if;</b></p><p><
72、;b> end if;</b></p><p> end process COM;</p><p> ------------------------------------------------------------計(jì)費(fèi) 路程顯示輸出</p><p> CAM:process(clk)</p><p>&l
73、t;b> begin</b></p><p> if clk'event and clk='1' then </p><p> cout<=cout+1;</p><p><b> end if;</b></p><p> end process CAM;<
74、/p><p> pra:process(cout) --控制路程和計(jì)費(fèi)輸出位置</p><p><b> begin</b></p><p> case cout is</p><p> when "000"=> wx<="10000000";shuju<=
75、q1;</p><p> when "001"=> wx<="01000000";shuju<=q2;</p><p> when "010"=> wx<="00100000";shuju<=q3;</p><p> when "011
76、"=> wx<="00010000";shuju<=q4;</p><p> when "100"=> wx<="00001000";shuju<=a1;</p><p> when "101"=> wx<="00000100";
77、shuju<=a2;</p><p> when "110"=> wx<="00000010";shuju<=a3;</p><p> when "111"=> wx<="00000001";shuju<=a4;</p><p><b&
78、gt; end case;</b></p><p> end process pra;</p><p> process(shuju) --數(shù)碼管顯示</p><p><b> begin</b></p><p> case shuju is</p><p> wh
79、en 0=>duan<="0111111"; --0</p><p> when 1=>duan<="0000110"; --1</p><p> when 2=>duan<="1011011"; --2</p><p> when 3=>duan<=&
80、quot;1001111"; --3</p><p> when 4=>duan<="1100110"; --4</p><p> when 5=>duan<="1101101"; --5</p><p> when 6=>duan<="1111101";
81、 --6</p><p> when 7=>duan<="0000111"; --7</p><p> when 8=>duan<="1111111"; --8</p><p> when 9=>duan<="1101111"; --9</p><
82、;p> when others=>null; </p><p><b> end case;</b></p><p> end process;</p><p> dist1<=q1;</p><p> dist2<=q2;</p><p> dist3&l
83、t;=q3;</p><p> dist4<=q4;</p><p> cost1<=a1;</p><p> cost2<=a2;</p><p> cost3<=a3;</p><p> cost4<=a4;</p><p> end behav;
溫馨提示
- 1. 本站所有資源如無(wú)特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- eda課程設(shè)計(jì)--出租車計(jì)費(fèi)器
- eda課程設(shè)計(jì)---出租車計(jì)費(fèi)器設(shè)計(jì)
- eda 課程設(shè)計(jì)--出租車計(jì)費(fèi)器設(shè)計(jì)
- eda課程設(shè)計(jì)--- 出租車計(jì)費(fèi)器
- eda課程設(shè)計(jì)——出租車計(jì)費(fèi)器設(shè)計(jì)
- eda課程設(shè)計(jì)--出租車計(jì)費(fèi)器的設(shè)計(jì)
- eda課程設(shè)計(jì)--出租車計(jì)費(fèi)器的設(shè)計(jì)
- eda課程設(shè)計(jì)--出租車自動(dòng)計(jì)費(fèi)器
- eda課程設(shè)計(jì)---出租車計(jì)費(fèi)器系統(tǒng)
- eda技術(shù)課程設(shè)計(jì)--出租車計(jì)費(fèi)器
- eda出租車計(jì)費(fèi)器
- 出租車計(jì)費(fèi)器課程設(shè)計(jì)報(bào)告
- 課程設(shè)計(jì)-出租車自動(dòng)計(jì)費(fèi)器設(shè)計(jì)
- 出租車自動(dòng)計(jì)費(fèi)器課程設(shè)計(jì)
- 出租車計(jì)費(fèi)器課程設(shè)計(jì)報(bào)告
- 出租車自動(dòng)計(jì)費(fèi)器設(shè)計(jì)課程設(shè)計(jì)
- 出租車自動(dòng)計(jì)費(fèi)器設(shè)計(jì)課程設(shè)計(jì)
- 出租車計(jì)費(fèi)器數(shù)字電子課程設(shè)計(jì)
- 基于eda技術(shù)出租車計(jì)費(fèi)器設(shè)計(jì)樣本
- 基于cpld出租車計(jì)費(fèi)器的課程設(shè)計(jì)
評(píng)論
0/150
提交評(píng)論