2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩15頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、<p><b>  課程設(shè)計(jì)任務(wù)書</b></p><p>  學(xué)生姓名: 專業(yè)班級: </p><p>  指導(dǎo)教師: 工作單位:</p><p>  題 目: 基本模型機(jī)的設(shè)計(jì)——不帶進(jìn)位的與或運(yùn)算指令的實(shí)現(xiàn)</p><p><b>  初始條件:</b>

2、;</p><p>  理論:學(xué)完“電工電子學(xué)”、“數(shù)字邏輯”、“匯編語言程序設(shè)計(jì)”、和“計(jì)算機(jī)組成原理”課程,掌握計(jì)算機(jī)組成原理實(shí)驗(yàn)平臺(tái)的使用。</p><p>  實(shí)踐:計(jì)算機(jī)學(xué)院科學(xué)系實(shí)驗(yàn)中心提供計(jì)算機(jī)、實(shí)驗(yàn)的軟件、硬件平臺(tái),在實(shí)驗(yàn)中心硬件平臺(tái)驗(yàn)證設(shè)計(jì)結(jié)果。</p><p>  要求完成的主要任務(wù): (包括課程設(shè)計(jì)工作量及其技術(shù)要求,以及說明書撰寫等具體要求)

3、</p><p>  1、基本模型機(jī)系統(tǒng)分析與設(shè)計(jì),利用所學(xué)的計(jì)算機(jī)組成原理課程中的知識(shí)和提供的實(shí)驗(yàn)平臺(tái)完成設(shè)計(jì)任務(wù),從而建立清晰完整的整機(jī)概念。</p><p>  2、根據(jù)課程設(shè)計(jì)題目的要求,編制實(shí)驗(yàn)所需的程序,上機(jī)測試并分析所設(shè)計(jì)的程序。</p><p>  3、課程設(shè)計(jì)的書寫報(bào)告應(yīng)包括:</p><p> ?。?)課程設(shè)計(jì)的題目。&l

4、t;/p><p> ?。?)設(shè)計(jì)的目的及設(shè)計(jì)原理。</p><p> ?。?)根據(jù)設(shè)計(jì)要求給出模型機(jī)的邏輯框圖。</p><p> ?。?)設(shè)計(jì)指令系統(tǒng),并分析指令格式。</p><p> ?。?)設(shè)計(jì)微程序及其實(shí)現(xiàn)的方法(包括微指令格式的設(shè)計(jì),后續(xù)微地址的產(chǎn)生方法以及微程序入口地址的形成)。</p><p> ?。?)模

5、型機(jī)當(dāng)中時(shí)序的設(shè)計(jì)安排。</p><p> ?。?)設(shè)計(jì)指令執(zhí)行流程。</p><p> ?。?)給出編制的源程序,寫出程序的指令代碼及微程序。</p><p> ?。?)說明在使用軟件HKCPT的聯(lián)機(jī)方式與脫機(jī)方式的實(shí)現(xiàn)過程(包括編制程序中與或運(yùn)算指令的時(shí)序分析,累加器A和有關(guān)寄存器、存儲(chǔ)器的數(shù)據(jù)變化以及數(shù)據(jù)流程)。</p><p> ?。?/p>

6、10)課程設(shè)計(jì)總結(jié)(設(shè)計(jì)的特點(diǎn)、不足、收獲與體會(huì))。</p><p><b>  時(shí)間安排: </b></p><p>  周一:熟悉相關(guān)資料。 周二:系統(tǒng)分析,設(shè)計(jì)程序。</p><p>  周三、四:編程并上實(shí)驗(yàn)平臺(tái)調(diào)試 周五:撰寫課程設(shè)計(jì)報(bào)告。</p><p>  指導(dǎo)教師簽名:

7、 年 月 日</p><p>  系主任(或責(zé)任教師)簽名: 年 月 日</p><p><b>  1設(shè)計(jì)的題目</b></p><p>  基本模型機(jī)的設(shè)計(jì)——不帶進(jìn)位的與或運(yùn)算指令的實(shí)現(xiàn)</p><p>  2設(shè)計(jì)的目的及

8、設(shè)計(jì)原理 </p><p><b>  2.1設(shè)計(jì)目的:</b></p><p>  1分析階段:在掌握已學(xué)的知識(shí)的基礎(chǔ)下,充分運(yùn)用數(shù)字邏輯,匯編語言,以及計(jì)算機(jī)組成原理的理論知識(shí)。通過對計(jì)算機(jī)的基本組成原理,核心部件的設(shè)計(jì)與構(gòu)成,以及部件間的連接方式,設(shè)計(jì)合理的指令系統(tǒng), 編制相應(yīng)指令的微指令與微程序,編制一個(gè)不帶進(jìn)位的與或運(yùn)算的程序。</p>&

9、lt;p>  2設(shè)計(jì)階段:根據(jù)自己已掌握的微指令的知識(shí),將分析階段的與或運(yùn)算轉(zhuǎn)換成相應(yīng)的有微指令組成的微程序。</p><p>  3驗(yàn)證階段:將編寫程序的運(yùn)算結(jié)果與實(shí)際結(jié)果進(jìn)行對比,驗(yàn)證程序設(shè)計(jì)的是否正確。</p><p><b>  2.2設(shè)計(jì)原理:</b></p><p>  在計(jì)算機(jī)中所有的運(yùn)算都是通過CPU來實(shí)現(xiàn)的,CPU根據(jù)指

10、令的格式分別實(shí)現(xiàn)對應(yīng)不同的功能。而每一個(gè)指令都對應(yīng)一段由微指令構(gòu)成微程序,而微指令又是由多個(gè)微命令組成,每一個(gè)微命令指示對應(yīng)的硬件完成相應(yīng)的任務(wù)。通過這樣的一種聯(lián)系來組織計(jì)算機(jī)硬件來完成所要執(zhí)行的指令。本次試驗(yàn)中,模型機(jī)從內(nèi)存中取出指令,分析指令,執(zhí)行指令。在時(shí)序的調(diào)節(jié)與微程序的控制下,完成一條機(jī)器指令,最后通過機(jī)器指令的逐步完成,從而實(shí)現(xiàn)不帶進(jìn)位的與或運(yùn)算。</p><p><b>  3模型機(jī)的邏輯

11、框圖</b></p><p>  運(yùn)算器由2片74L181構(gòu)成8位字長的ALU單元。2片74LS374作為2個(gè)數(shù)據(jù)鎖存器(DR1、DR2),8芯插座ALU-IN作為數(shù)據(jù)輸入端,可通過短8芯扁平電纜,把數(shù)據(jù)輸入端連接到數(shù)據(jù)總線上。數(shù)據(jù)輸入鎖存器DR1的EDR1為低電平,并且D1CK有上升沿時(shí),把來自數(shù)據(jù)總線的數(shù)據(jù)打入鎖存器DR1。同樣使EDR2為低電平、D2CK有上升沿時(shí)把數(shù)據(jù)總線上的數(shù)據(jù)打入數(shù)據(jù)鎖存器

12、DR2。(模型機(jī)的框圖如下圖所示)</p><p>  4設(shè)計(jì)指令系統(tǒng)與指令格式</p><p>  4.1指令系統(tǒng)設(shè)計(jì):</p><p>  此次實(shí)驗(yàn)涉及的指令有以下幾種:</p><p>  MOV A, #data 將立即數(shù)傳遞到將累加器A中;</p><p>  ORL A,#data 將累加器

13、A和立即數(shù)相或,結(jié)果送入累加器A中;</p><p>  MOV RI,#data 將立即數(shù)傳遞到寄存器RI中;</p><p>  SUB A,RI 將累加器A中的內(nèi)容減去寄存器RI中的內(nèi)容,結(jié)果送入累加器A;</p><p>  ANL A,#data 將累加器A和立即數(shù)相與,結(jié)果送入累加器A中;</p><p&

14、gt;  STA addr 將寄存器中內(nèi)容寫入存儲(chǔ)器中;</p><p>  HALT 停機(jī)指令;</p><p><b>  指令系統(tǒng)如下表:</b></p><p>  4.2指令格式分析:</p><p>  指令一般由地址碼和操作碼組成,如下所示:</p>

15、<p>  此實(shí)驗(yàn)所涉及指令的格式如下:</p><p>  MOV指令采用單字節(jié)指令,其格式如下:</p><p>  ORL邏輯或指令采用單字節(jié)指令,其格式如下: </p><p>  ANL邏輯與指令采用單字節(jié)指令,其格式如下: </p><p>  STA取數(shù)據(jù)指令,其格式如下:</p><p> 

16、 停機(jī)指令(HALT),其格式如下:</p><p>  5設(shè)計(jì)微程序及其實(shí)現(xiàn)的方法</p><p>  5.1微指令格式的設(shè)計(jì):</p><p>  微指令的一般格式如圖所示:</p><p>  5.2后續(xù)微指令的產(chǎn)生方法:</p><p>  由于本系統(tǒng)中指令系統(tǒng)的功能比較簡單,所以可以采用微指令全水平、不編碼的

17、方式,及每一個(gè)微操作控制信號(hào)由一位微代碼來表示,24位微代碼可以表示24個(gè)不同的微操作控制信號(hào)。在本系統(tǒng)中,MLD為置微地址的控制信號(hào),MCK為工作脈沖。當(dāng)MLD=0、MCK有上沿時(shí),把MD0~MD7的值作為微程序的地址,打入微地址寄存器。當(dāng)MLD=1、MCK有上升沿時(shí),微地址計(jì)數(shù)器自動(dòng)加1。</p><p>  5.3微程序入口地址的形成:</p><p>  本實(shí)驗(yàn)平臺(tái)的硬件設(shè)計(jì)采用的

18、是24位微指令,微指令采用全水平不編碼的格式,可產(chǎn)生24個(gè)微操作控制信號(hào)。由于模型機(jī)指令系統(tǒng)規(guī)模較小,功能較簡單,可由微代碼直接實(shí)現(xiàn)。在模型機(jī)內(nèi),用指令操作碼的高4位作為核心擴(kuò)展成8位的微程序入口地址MD0-MD7。這種方法稱為“按操作碼散轉(zhuǎn)”(如下表所示)。</p><p><b>  按操作碼散轉(zhuǎn)</b></p><p>  6模型機(jī)時(shí)序的設(shè)計(jì)安排</p&g

19、t;<p>  由于微指令采用全水平不編碼的格式,微程序的入口地址采用操作碼散轉(zhuǎn)方式,所以可確定模型機(jī)中時(shí)序單元中所產(chǎn)生的每一拍的作用。為了更好地觀察實(shí)驗(yàn)的各個(gè)中間過程中各寄存器的值,由監(jiān)控單元產(chǎn)生一個(gè)PLS-O的信號(hào)來控制時(shí)序產(chǎn)生。PLS-O信號(hào)經(jīng)過時(shí)序單元的處理產(chǎn)生了4個(gè)脈沖信號(hào)。4個(gè)脈沖信號(hào)組成一個(gè)微周期,為不同的寄存器提供工作脈沖。</p><p>  PLS0:微地址寄存器的工作脈沖,用來

20、設(shè)置微程序的首地址及微地址加1。</p><p>  PLS1:PC計(jì)數(shù)器的工作脈沖,根據(jù)微指令的控制實(shí)現(xiàn)PC計(jì)數(shù)器加1和重置PC計(jì)</p><p>  器(如跳轉(zhuǎn)指令)等功能。</p><p>  PLS2:把24位微指令打入3片微指令鎖存器</p><p>  PLS3:把當(dāng)前總線上的數(shù)據(jù)打入微指令選通的寄存器</p>&l

21、t;p>  它們的時(shí)序圖如下圖所示:</p><p><b>  7設(shè)計(jì)指令執(zhí)行流程</b></p><p>  在每個(gè)系統(tǒng)中,一條指令從內(nèi)存取出到執(zhí)行完畢,需要若干個(gè)機(jī)器周期,任何指令中都必須有一個(gè)機(jī)器周期作為“取指令周期”,稱為公操作周期。而一條指令共需幾個(gè)機(jī)器周期取決于指令在機(jī)器內(nèi)實(shí)現(xiàn)的復(fù)雜程度。</p><p>  對于微程序控制

22、的計(jì)算機(jī),在設(shè)計(jì)指令執(zhí)行流程時(shí),要保證每條微指令所含的微操作的必要性和合理性,還應(yīng)知道總線的IAB,IDB,OAB,ODB僅是傳輸信息的通路,沒有寄存信息的功能,而且必須保證總線傳輸信息時(shí)信息的唯一性。</p><p>  以下描述取微指令執(zhí)行周期:</p><p>  在模型機(jī)處于停機(jī)狀態(tài)時(shí),模型機(jī)的微地址寄存器被清零,微指令鎖存器輸出無效。在處于停機(jī)狀態(tài)時(shí),脈沖PLS1對微地址寄

23、存器(74LS161)無效,微地址寄存器保持為零。脈沖PLS2對PC計(jì)數(shù)器無效,同時(shí)PLS2把HALT=1打入啟停單元中的運(yùn)行狀態(tài)寄存器(74LS74)中,把模型機(jī)置為運(yùn)行狀態(tài),使微程序鎖存器輸出有效。PLS3把微程序儲(chǔ)存器00H單元中的內(nèi)容打入指令寄存器中。</p><p>  在模型機(jī)處于運(yùn)行狀態(tài)時(shí),脈沖PLS1將微地址寄存器(74LS161)加一,脈沖PLS2將PC計(jì)數(shù)器加1,PLS3把微程序存儲(chǔ)器中

24、的微指令打入微指令鎖存器并且輸出。PLS4把當(dāng)前總線上的數(shù)據(jù)打入當(dāng)前微指令所選通的寄存器。</p><p>  對于此次實(shí)驗(yàn)每條指令的執(zhí)行流程如下:</p><p>  8編制源程序,程序的指令代碼及微程序</p><p><b>  8.1源程序:</b></p><p>  MOV A,#25</p>

25、<p>  ORL A,#35</p><p>  MOV R0,#10</p><p>  SUB A,R0</p><p>  ANL A,#45</p><p><b>  STA 30</b></p><p><b>  HALT</b>

26、;</p><p>  8.2程序的指令代碼:</p><p><b>  8.3微程序:</b></p><p>  MOV A,#25 : 0000[4D],[FF],[FF] 取指指令 </p><p>  0017[DD],[FB],[FF] Dbus→A</p><

27、p>  ORL A,#35: 0018[4D],[FF],[FF] 取指指令 </p><p>  0033[FF],[FC],[FE] A→Dbus→DR1</p><p>  0034[DD],[FF],[7E] RAM→Dbus→DR2</p><p>  0035[FF],[FB],[BE] ALU→A<

28、/p><p>  MOV R0,#10: 0036[4D],[FF],[FF] 取指指令</p><p>  001B[FF],[BD],[FF] RAM→Dbus→RI</p><p>  SUB A,R0 : 001C[4D],[FF],[FF] 取指指令</p><p>  0007[FF],[7B],

29、[FF] A→Dbus→DR1</p><p>  0007[FF],[7B],[FF] RI→Dbus→DR2</p><p>  0007[FF],[7B],[FF] ALU→Dbus→A</p><p>  ANL A,#45: 000A[4D],[FF],[FF] 取指指令</p><p>  0037[FF][FC

30、][FB] A→Dbus→DR1</p><p>  0038[DD][FF][7B] RAM→Dbus→DR2</p><p>  0039[FF][FB][BB] ALU→A</p><p>  STA 30 : 003A[4D][FF][FF] 取指指令</p><p>  0023[D5][FF][FF]

31、 Dbus→IR2</p><p>  0024[BB][FD][FF] IR2→Dbus; A→Dbus→RAM</p><p>  HALT : 003E[4D][FF][FF] 取指指令</p><p>  0003F[FF][DF][FF] 停機(jī)</p><p>  9說明在使用軟件HKCPT的聯(lián)機(jī)方式與脫機(jī)

32、方式的實(shí)現(xiàn)過程(包括編制程序中與或運(yùn)算指令的時(shí)序分析,累加器A和有關(guān)寄存器、存儲(chǔ)器的數(shù)據(jù)變化以及數(shù)據(jù)流程)。</p><p>  9.1編制程序中與或運(yùn)算指令的時(shí)序分析:</p><p>  將25存入累加器A中的時(shí)序圖</p><p>  25與35進(jìn)行邏輯或運(yùn)算的時(shí)序圖:</p><p>  上次運(yùn)算結(jié)果減去10的時(shí)序圖:</p&g

33、t;<p>  上次運(yùn)算結(jié)果與45進(jìn)行邏輯與運(yùn)算的的時(shí)序圖:</p><p>  將最終結(jié)果保存到RAM地址的時(shí)序圖:</p><p><b>  調(diào)試窗口如圖所示:</b></p><p>  9.2累加器A和有關(guān)寄存器、存儲(chǔ)器的數(shù)據(jù)變化以及數(shù)據(jù)流程:</p><p>  MOV A,#25</p

34、><p>  數(shù)據(jù)流程:RAM->DBUS->A</p><p>  執(zhí)行后,A中數(shù)據(jù)值為25H,其他寄存器值無效</p><p>  ORL A,#35</p><p>  數(shù)據(jù)流程:A→DBUS→DR1,RAM→DBUS→DR2,ALU→DBUS→A</p><p>  執(zhí)行后,A中的值為35H,DR1

35、中數(shù)據(jù)值為25H,DR2中數(shù)據(jù)值為35H,</p><p><b>  其他寄存器值不變</b></p><p>  MOV R0,#10</p><p>  數(shù)據(jù)流程:RAM->DBUS->R0 </p><p>  執(zhí)行后,R0中數(shù)據(jù)值為10H,A中值不變,其他寄存器值無效</p><

36、;p>  SUB A, R0</p><p>  數(shù)據(jù)流程:A->DBUS->DR1,R0->DBUS->DR2,ALU->DBUS->A</p><p>  執(zhí)行后,A中值為25H,DR1中數(shù)據(jù)值為35H,DR2中數(shù)據(jù)值為10H,</p><p>  ANL A,#45 </p><p> 

37、 數(shù)據(jù)流程:A->DBUS->DR1,R0->DBUS->DR2,ALU->DBUS->A</p><p>  執(zhí)行后,A中值為05H,DR1中數(shù)據(jù)值為25H,DR2中數(shù)據(jù)值為45H,</p><p><b>  其他寄存器值不變</b></p><p><b>  STA 30</b&g

38、t;</p><p>  數(shù)據(jù)流程:A->RAM 執(zhí)行后,內(nèi)存地址為30H的單元中值為05H</p><p><b>  HALT 停機(jī)</b></p><p><b>  10課程設(shè)計(jì)總結(jié)</b></p><p>  在此次計(jì)算機(jī)組成原理的課程設(shè)計(jì)實(shí)驗(yàn)中,通過自己對計(jì)算機(jī)組成原理、匯編語言

39、以及數(shù)字邏輯等課程知識(shí)的掌握與運(yùn)用,在HKCPT軟件平臺(tái)上設(shè)計(jì)幾個(gè)數(shù)的邏輯與或運(yùn)算并成功地實(shí)現(xiàn)了設(shè)計(jì)的要求。雖然此次課程設(shè)計(jì)獲得成功,但仍然暴露出自己不少的知識(shí)盲點(diǎn),比如一條指令對應(yīng)的多個(gè)微指令,每條微指令又起到的作用以及每條指令的流程周期變化等等,自己以前沒有弄懂的地方。通過這次課程設(shè)計(jì)彌補(bǔ)了自己這方面的不足,加深了自己對知識(shí)結(jié)構(gòu)的了解,掌握知識(shí)的運(yùn)用,真是受益匪淺。</p><p>  這次設(shè)計(jì)的這個(gè)實(shí)驗(yàn)由于

40、本身很簡單,因此我也沒有設(shè)計(jì)很復(fù)雜的代碼,不過它依然很清楚地反映了不帶進(jìn)位的邏輯與或的運(yùn)算特點(diǎn),完全符合設(shè)計(jì)要求。通過此次實(shí)驗(yàn),首先,自己更一步熟悉和了解指令、微指令等等相關(guān)的一些基本知識(shí)以及與此次實(shí)驗(yàn)所連接到的其它科目的一些知識(shí);其次,自己切身體會(huì)到這次課程設(shè)計(jì)實(shí)驗(yàn)是不同與我們之前所做的一些小實(shí)驗(yàn),是對所學(xué)的計(jì)算機(jī)組成原理知識(shí)的一次綜合運(yùn)用;再次,這次實(shí)驗(yàn)進(jìn)一步加深了我對此實(shí)驗(yàn)平臺(tái)的理解和運(yùn)用,同時(shí)也使我了解到了它的漏洞和缺點(diǎn);當(dāng)然,

41、通過這次課程設(shè)計(jì)更使自己明白,要善于運(yùn)用所學(xué)的知識(shí)運(yùn)用到實(shí)際操作中,加強(qiáng)自己動(dòng)手動(dòng)腦的能力,加強(qiáng)獨(dú)立思考分析的能力并以此檢驗(yàn)所學(xué)知識(shí)的牢固、扎實(shí)。總而言之,在以后的學(xué)習(xí)生活中,自己必需加強(qiáng)自己的動(dòng)手能力以及綜合思考能力。</p><p><b>  11參考文獻(xiàn)</b></p><p>  [1]《計(jì)算機(jī)組成原理》,主編:薛勝軍,出版社:武漢理工大學(xué)出版社,出版或修訂

42、時(shí)間:2003.11 </p><p>  [2]《計(jì)算機(jī)組成原理學(xué)習(xí)指導(dǎo)與實(shí)驗(yàn)》,主編:薛勝軍、談冉,出版社:武漢理工大學(xué)出版社,出版或修訂時(shí)間:2006.10</p><p>  [3] 《計(jì)算機(jī)組成原理》,主編:薛勝軍,出版社:華中科技大學(xué)出版社,出版或修訂時(shí)間:2005.5</p><p>  [4] 《計(jì)算機(jī)組成原理》,主編:白中英,出版社:科學(xué)出版社,出

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論