2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩16頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b>  學(xué)生課程設(shè)計(jì)報(bào)告</b></p><p>  課程設(shè)計(jì)名稱:計(jì)算機(jī)組成原理</p><p>  設(shè)計(jì)項(xiàng)目名稱:基本模型機(jī)設(shè)計(jì)與實(shí)現(xiàn)</p><p>  專 業(yè) 名 稱:計(jì)算機(jī)科學(xué)與技術(shù)</p><p>  班 級(jí):24020701</p><p>  學(xué)

2、 號(hào): 2402070130 2402070111</p><p>  學(xué) 生 姓 名: 王銳 劉佟</p><p>  指 導(dǎo) 教 師:周立</p><p>  2009 年 12 月 11日</p><p><b>  目 錄</b></p><p><b&g

3、t;  程序設(shè)計(jì)概述</b></p><p>  1.1 課程設(shè)計(jì)的教學(xué)目的</p><p>  1.2 課程設(shè)計(jì)任務(wù)和基本要求</p><p><b>  規(guī)定項(xiàng)目的驗(yàn)證實(shí)現(xiàn)</b></p><p><b>  2.1 驗(yàn)證任務(wù)</b></p><p><

4、b>  2.2 驗(yàn)證步驟</b></p><p>  2.3 驗(yàn)證結(jié)果與分析</p><p>  指定應(yīng)用項(xiàng)目的設(shè)計(jì)實(shí)現(xiàn)</p><p><b>  3.1 設(shè)計(jì)任務(wù)</b></p><p>  3.2 任務(wù)分析及解決方案</p><p><b>  3.3 設(shè)計(jì)原理&

5、lt;/b></p><p>  3.4 應(yīng)用項(xiàng)目設(shè)計(jì)實(shí)現(xiàn)操作步驟</p><p><b>  收獲、體會(huì)和建議</b></p><p><b>  參考文獻(xiàn)</b></p><p><b>  程序設(shè)計(jì)概述</b></p><p><b&g

6、t;  課程設(shè)計(jì)的教學(xué)目的</b></p><p>  本課程設(shè)計(jì)的教學(xué)目的是在掌握計(jì)算機(jī)系統(tǒng)組成及內(nèi)部工作機(jī)制、理解計(jì)算機(jī)各功能部件工作原理的基礎(chǔ)上,深入掌握信息流和控制信息流的流動(dòng)過程,進(jìn)一步加深計(jì)算機(jī)系統(tǒng)各模塊間相互關(guān)系的認(rèn)識(shí)和整機(jī)的概念,培養(yǎng)開發(fā)和調(diào)試計(jì)算機(jī)的技能。再設(shè)計(jì)實(shí)踐中提高應(yīng)用所學(xué)專業(yè)知識(shí)分析問題和解決問題的能力。</p><p>  課程設(shè)計(jì)任務(wù)和基本要求&l

7、t;/p><p>  本課程設(shè)計(jì)以TDN-CM++計(jì)算機(jī)組成原理教學(xué)實(shí)驗(yàn)系統(tǒng)為平臺(tái)設(shè)計(jì)完成。</p><p>  按給定的數(shù)據(jù)格式和指令系統(tǒng),理解為程序控制器的設(shè)計(jì)原理。</p><p>  設(shè)計(jì)給定機(jī)器指令系統(tǒng)遺跡微程序流程圖,安慰指令格式寫出微程序的微指令代碼</p><p>  連接邏輯電路,完成啟動(dòng)、測(cè)試、編程、校驗(yàn)和運(yùn)行,并觀測(cè)運(yùn)行過程

8、及結(jié)果。</p><p>  將微程序控制器模塊與運(yùn)算器模塊、存儲(chǔ)器模塊聯(lián)機(jī),組成一臺(tái)模擬計(jì)算機(jī)。</p><p>  用微程序控制器控制模型機(jī)的數(shù)據(jù)通路。</p><p>  通過在模型機(jī)上運(yùn)行由機(jī)器指令組成的簡(jiǎn)單程序,掌握機(jī)器指令與微指令的關(guān)系,建立計(jì)算機(jī)的整機(jī)概念,掌握計(jì)算機(jī)的控制機(jī)制。</p><p>  按指定應(yīng)用項(xiàng)目進(jìn)行匯編指令格

9、式及功能設(shè)計(jì),并設(shè)計(jì)相應(yīng)的機(jī)器指令代碼,按照模型及數(shù)據(jù)通路設(shè)計(jì)實(shí)現(xiàn)機(jī)器指令功能的微程序。在PC機(jī)上編輯機(jī)器指令和微程序,裝載代碼到TDN-CM++實(shí)驗(yàn)系統(tǒng)并運(yùn)行,實(shí)現(xiàn)應(yīng)用要求。</p><p>  第二章 規(guī)定項(xiàng)目的驗(yàn)證實(shí)現(xiàn)</p><p><b>  2.1 驗(yàn)證任務(wù)</b></p><p>  從輸入設(shè)備輸入數(shù)X送R0,再從內(nèi)存[0AH

10、]中讀一個(gè)數(shù)Y,實(shí)現(xiàn)X+Y,和送R0,再把和存入[0BH],并輸出至LED。</p><p><b>  2.2 驗(yàn)證步驟</b></p><p> ?。?)按如下接線圖連接好線路,并測(cè)試:</p><p><b>  圖1 接線圖</b></p><p> ?。?)測(cè)試完成以后用聯(lián)機(jī)軟件CMP

11、將以下機(jī)器指令以及微指令保存成*.txt</p><p>  文件,裝載到TND—CM++試驗(yàn)系統(tǒng)中并運(yùn)行。</p><p><b>  $P0000</b></p><p><b>  $P0110</b></p><p><b>  $P020A</b></p>

12、<p><b>  $P0320</b></p><p><b>  $P040B</b></p><p><b>  $P0530</b></p><p><b>  $P060B</b></p><p><b>  $P0740

13、</b></p><p><b>  $P0800</b></p><p><b>  $P0A01</b></p><p>  $M00018110</p><p>  $M0101ED82</p><p>  $M0200C048</p><

14、;p>  $M0300E004</p><p>  $M0400B005</p><p>  $M0501A206</p><p>  $M06956A01</p><p>  $M0700E00D</p><p>  $M08001001</p><p>  $M0901ED83<

15、/p><p>  $M0A01ED87</p><p>  $M0B01ED8E</p><p>  $M0C01ED96</p><p>  $M0D028201</p><p>  $M0E00E00F</p><p>  $M0F00A015</p><p>  $M1

16、001ED92</p><p>  $M1101ED94</p><p>  $M1200A017</p><p>  $M13018001</p><p>  $M14002018</p><p>  $M15070A01</p><p>  $M1600D181</p><

17、;p>  $M17070A10</p><p>  $M18068A11</p><p> ?。?)裝載完成后,在聯(lián)機(jī)軟件中選擇[運(yùn)行]→[通路圖]→[單步運(yùn)行微指令]。</p><p> ?。?)一步一步觀察運(yùn)行過程,對(duì)照流程圖了解給條微指令對(duì)應(yīng)的功能,并驗(yàn)證測(cè)試結(jié)果。</p><p>  2.3 驗(yàn)證結(jié)果與分析</p>

18、<p>  該規(guī)定任務(wù)的操作數(shù)為X=03H,Y=01H,其中X從輸入設(shè)備讀入, Y的直接地址為K=0AH。,X和Y進(jìn)行算術(shù)加運(yùn)算的結(jié)果為04H,將其存入直接地址N=0BH中。因此,最后輸出單元輸出的結(jié)果為04H.</p><p>  分析:第一條機(jī)器指令I(lǐng)N 從輸入設(shè)備讀入X=03H,指令執(zhí)行后R0=03H。</p><p>  二條機(jī)器指令A(yù)DD將直接地址為0AH的內(nèi)存單元

19、的內(nèi)容(Y)與寄存器R0內(nèi)容(X)執(zhí)行加運(yùn)算,結(jié)果存入寄存器R0 .該指令執(zhí)行之后, R0 中的內(nèi)容為04H(X加Y的結(jié)果)。第三條機(jī)器指令STA將R0中的內(nèi)容存入直接地址為0BH的內(nèi)存單元中。第四條機(jī)器指令OUT將結(jié)果04H輸出并顯示。</p><p>  指定應(yīng)用項(xiàng)目的設(shè)計(jì)實(shí)現(xiàn)</p><p>  3.1 課程設(shè)計(jì)任務(wù)</p><p>  從輸入設(shè)備中讀取數(shù)據(jù)X

20、并將其存入以K為直接地址的內(nèi)存單元,計(jì)算2X加X減1并將結(jié)果存入以N為間接地址的內(nèi)存單元。</p><p>  3.2 課程設(shè)計(jì)思想及任務(wù)分析</p><p>  在進(jìn)行這一次設(shè)計(jì)之前當(dāng)我們看見我們的題目的時(shí)候,我們聯(lián)系到了最后的一次實(shí)驗(yàn)的機(jī)器運(yùn)行的過程聯(lián)系這次的的要求我們先明確的這次設(shè)計(jì)的大體過程,畫大體圖—匯編程序—流程圖—微指令代碼—檢查,然后我們進(jìn)行了下一步驟,觀察題目在進(jìn)行這一次

21、的設(shè)計(jì)之時(shí)首先我們看見了在讀取與儲(chǔ)存時(shí)的兩種不同的尋址方式,想到了這兩種的不同,具體表示在流程圖上面就是間接尋址要通過地址先找地址再找數(shù)據(jù)所以要分兩次,然后我們又看了看我們的運(yùn)算所需要的過程,對(duì)照書本的49頁的代碼,我們決定了將2X通過一次X加X算術(shù)運(yùn)算來實(shí)現(xiàn),由于X的給予只需要做運(yùn)算即可,非運(yùn)算書上有,加法運(yùn)算書上有,減1運(yùn)算書上面也有,于是我們就決定在預(yù)算過程過程中通過4次來實(shí)現(xiàn),所以我們想到了先計(jì)算X加X然后在將2X求非再加X最后

22、減1的運(yùn)算流程,于是一個(gè)初步的構(gòu)想于是就這樣形成了,在寫匯編程序時(shí)候我們也是忠實(shí)的按照這個(gè)構(gòu)想來寫的,由于設(shè)計(jì)不慎急于求成,將2x操作與非操作搞到一起了,雖然這樣可以實(shí)現(xiàn),但是在別的程序員閱讀是會(huì)產(chǎn)生很大的不便,經(jīng)過老師的講解,我們迅速的從新改變了整體的思路,然后迅速修改,由于需要修改多條偽指令的編號(hào)我們決定大體不變,刪除錯(cuò)的或者</p><p>  到此我們這一次的設(shè)計(jì)過程到這里就結(jié)束了,我們充分的參與并且體會(huì)

23、了設(shè)計(jì)一個(gè)程序的每一次的收獲,充分的體會(huì)了其中的設(shè)計(jì)的快樂與痛苦,對(duì)我們的專業(yè)只是也有很大的提升。</p><p><b>  3.3 設(shè)計(jì)原理</b></p><p>  在部件試驗(yàn)中,我們是人為用二進(jìn)制開關(guān)來模擬一些控制信號(hào)來完成數(shù)據(jù)通路的控制。而在本課程設(shè)計(jì)中,數(shù)據(jù)通路的控制將由微程序控制器來完成,即一條機(jī)器指令對(duì)應(yīng)一個(gè)微程序。</p><p

24、>  本設(shè)計(jì)的規(guī)定項(xiàng)目采用五條機(jī)器指令:IN(輸入)、MOV(將最終計(jì)算結(jié)果存入間接地址中)、ADD(二進(jìn)制加法)、NOT(給2X取非)、STA(將X存入直接地址中)、DEC(減1)、OUT(輸出)、HLT(保持執(zhí)行結(jié)束狀態(tài),不再執(zhí)行操作),其指令格式如下表1(前四位是操作碼):</p><p>  IN為單字長指令,含義是將輸入設(shè)備輸入的數(shù)據(jù)放入R0中</p><p>  ADD為

25、雙字長指令,其含義是根據(jù)指令提供的地址將內(nèi)存中的數(shù)取出放入DR2,與DR1中的數(shù)相加,結(jié)果放在R0。</p><p>  NOT為單字長指令,含義是將R0的內(nèi)容取非后放入R0。</p><p>  STA為雙字長指令,第二個(gè)字是要存放的地址,含義是將R0中的內(nèi)容存儲(chǔ)到第二字為地址的內(nèi)存單元中。</p><p>  DEC是單字長指令,含義是R0的內(nèi)容減1后放入R0&

26、lt;/p><p>  MOV是雙字長指令,第二字是要存放的間接地址,含義是將R0中的內(nèi)容存儲(chǔ)到第二字為間接地址的內(nèi)存單元中。</p><p>  OUT為雙字長指令,含義是根據(jù)指令提供的地址,將內(nèi)存中的數(shù)據(jù)取出由數(shù)碼管進(jìn)行顯示。</p><p>  HLT為空轉(zhuǎn)指令,保持執(zhí)行結(jié)束狀態(tài),并不在執(zhí)行任何操作。</p><p>  本設(shè)計(jì)的機(jī)器指令如

27、下:</p><p>  為了向RAM中裝入程序和數(shù)據(jù),檢查寫入是否正確,并能啟動(dòng)程序執(zhí)行,</p><p>  還設(shè)計(jì)了三個(gè)控制臺(tái)操作微程序:</p><p>  存儲(chǔ)器讀操作(KRD):撥動(dòng)總清開關(guān)CLR后,當(dāng)控制臺(tái)開關(guān)SWB、SWA置</p><p>  為“00”時(shí),按START微動(dòng)開關(guān),可對(duì)RAM進(jìn)行連續(xù)手動(dòng)讀操作。</p&g

28、t;<p>  存儲(chǔ)器寫操作(KWE):撥動(dòng)總清開關(guān)CLR后,當(dāng)控制臺(tái)開關(guān)SWB、SWA置</p><p>  為“01”時(shí),按START微動(dòng)開關(guān),可對(duì)RAM進(jìn)行連續(xù)手動(dòng)寫入。</p><p>  啟動(dòng)程序:撥動(dòng)總清開關(guān)CLR后,當(dāng)控制臺(tái)開關(guān)SWB、SWA置為“11”</p><p>  時(shí),按START微動(dòng)開關(guān),即可轉(zhuǎn)入到第01號(hào)“取指”微指令,啟動(dòng)

29、程序運(yùn)行。</p><p>  上述三條控制臺(tái)指令用兩個(gè)開關(guān)SWB、SWA的狀態(tài)來設(shè)置,其定義如表2:</p><p>  按照如下規(guī)定的格式,用聯(lián)機(jī)軟件在CMPP中建立將機(jī)器指令及微指令的二進(jìn)制代碼編輯成十六進(jìn)制的*.txt類型文件。(微指令格式中的微指令代碼為將表中的24位微代碼按從左到右費(fèi)城三個(gè)八位,將此三個(gè)八位二進(jìn)制代碼轉(zhuǎn)換為相應(yīng)的十六進(jìn)制數(shù)即可),并用聯(lián)機(jī)軟件的轉(zhuǎn)儲(chǔ)功能將該式文件

30、裝載到試驗(yàn)系統(tǒng)中。</p><p>  機(jī)器指令格式說明: $PXX XX</p><p>  微指令格式說明: $MXX XXXXXX</p><p>  啟動(dòng)程序:當(dāng)控制臺(tái)開關(guān)SWB、SWA置為“1 1”時(shí),按START微動(dòng)開關(guān),即可轉(zhuǎn)入到第01號(hào)“取指”微指令,啟動(dòng)程序運(yùn)行。</p><p>  根據(jù)以上要求設(shè)計(jì)數(shù)據(jù)通路框圖如圖2所示

31、:</p><p>  圖2 數(shù)據(jù)通路框圖</p><p>  微指令格式如表3所示:</p><p>  按照數(shù)據(jù)通路可畫出機(jī)器指令的微程序流程圖如圖2所示。當(dāng)擬定“取指”微指令時(shí),該微指令的判別測(cè)試字段為P(1)測(cè)試。由于“取指”微指令是所有微程序都使用的公用微指令,因此P(1)的測(cè)試結(jié)果出現(xiàn)多路分支。本實(shí)驗(yàn)中共出現(xiàn)8路分支,分別對(duì)應(yīng)8種機(jī)器指令。占用8個(gè)固定

32、的微地址單元。</p><p><b>  微程序流程圖</b></p><p>  根據(jù)流程圖寫出所有微指令代碼</p><p><b>  微指令代碼</b></p><p>  指令寄存器(IR)用來保存當(dāng)前正在執(zhí)行的一條指令。當(dāng)執(zhí)行一條指令時(shí),先把它從內(nèi)存取到緩沖寄存器中,然后再傳送至指令寄

33、存器。指令劃分為操作碼和地址碼字段,由二進(jìn)制數(shù)構(gòu)成。為了執(zhí)行任何給定的指令,必須對(duì)操作碼進(jìn)行測(cè)試[P(1)],通過節(jié)拍脈沖T4的控制以便識(shí)別所要求的操作?!爸噶罴拇嫫鳌?實(shí)驗(yàn)板上標(biāo)有“INS DECODE”的芯片)根據(jù)指令中的操作碼譯碼結(jié)果強(qiáng)置微控器單元的微地址,使下一條微指令指向相應(yīng)得微程序首地址。</p><p>  本系統(tǒng)使用兩種外部設(shè)備,一種是二進(jìn)制代碼開關(guān),它作為輸入設(shè)備(INPUT DEVICE);另

34、一種是數(shù)碼管,它作為輸出設(shè)備(OUPUT DEVICE)。例如:輸入時(shí),二進(jìn)制開關(guān)數(shù)據(jù)直接經(jīng)過三態(tài)門送到總線上,只要開關(guān)狀態(tài)不變,輸入的信息也不變。輸出時(shí),將輸出的數(shù)據(jù)送到數(shù)據(jù)總線BUS上,當(dāng)寫信號(hào)(W/R)有效時(shí),將數(shù)據(jù)打入輸出鎖存器,并在數(shù)碼管顯示。</p><p>  3.4 課程設(shè)計(jì)實(shí)現(xiàn)步驟</p><p>  3.4.1 按照?qǐng)D1接線圖連接線路。</p><p

35、>  3.4.2 聯(lián)機(jī)寫入程序(微指令),按照實(shí)驗(yàn)要求的規(guī)定格式,將機(jī)器指令及微指令二進(jìn)制代碼表編輯成十六進(jìn)制的文件。</p><p><b>  機(jī)器指令</b></p><p><b>  $P0000</b></p><p><b>  $P0110</b></p><

36、p><b>  $P020F</b></p><p><b>  $P0320</b></p><p><b>  $P040F</b></p><p><b>  $P0530</b></p><p><b>  $P0640</b

37、></p><p><b>  $P070F</b></p><p><b>  $P0850</b></p><p><b>  $P0960</b></p><p><b>  $P0A10</b></p><p><

38、;b>  $P0B70</b></p><p><b>  $P0C10</b></p><p><b>  $P0D80</b></p><p><b>  $P0F01</b></p><p><b>  $P1011</b><

39、/p><p><b>  $P1100</b></p><p><b>  微指令</b></p><p>  $M00018110</p><p>  $M0101ED82</p><p>  $M0200C060</p><p>  $M0300E00

40、4</p><p>  $M04028201</p><p>  $M0500E006</p><p>  $M0600B007</p><p>  $M0701A20F</p><p>  $M0800E00C</p><p>  $M0900E00A</p><p>

41、  $M0A00A00B</p><p>  $M0B070A01</p><p>  $M0C028201</p><p>  $M0D00E00A</p><p>  $M0F959A01</p><p>  $M1001ED92</p><p>  $M1100ED94</p>

42、<p>  $M1200A017</p><p>  $M13018001</p><p>  $M14002018</p><p>  $M16099A01</p><p>  $M17070A10</p><p>  $M18068A11</p><p>  $M1900E01

43、A</p><p>  $M1A00B01B</p><p>  $M1B01A21C</p><p>  $M1C959A01</p><p>  $M1EF59A01</p><p>  $M1F00E008</p><p>  $M20001001</p><p>

44、  $M2101ED83</p><p>  $M2201ED85</p><p>  $M2301A216</p><p>  $M2401ED99</p><p>  $M2501A21E</p><p>  $M2601ED7F</p><p>  $M2701ED89</p>

45、<p>  $M28018028</p><p>  3.4.3 聯(lián)機(jī)運(yùn)行</p><p>  聯(lián)機(jī)運(yùn)行程序時(shí),進(jìn)入軟件界面,裝載機(jī)器指令及微指令后,選擇[運(yùn)行]→[通路圖]→[復(fù)雜模型機(jī)]功能菜單打開相應(yīng)的動(dòng)態(tài)數(shù)據(jù)通路圖,按相應(yīng)功能鍵即可聯(lián)機(jī)運(yùn)行、監(jiān)控、調(diào)試程序。</p><p>  3.5 實(shí)驗(yàn)設(shè)計(jì)結(jié)果與分析</p><p>

46、;  在經(jīng)過運(yùn)行之后可以得出以下結(jié)果:</p><p>  輸入數(shù)值 X=0000 0001 (01H)</p><p>  直接地址 K=0000 1111 (0FH)</p><p>  中間結(jié)果 2X=1111 1101 (FDH)</p><p&

47、gt;  間接地址 N=0001 0000 (10H)</p><p>  間接地址所指直接地址 0001 0001 (11H)</p><p>  最終結(jié)果 2X加X減1=1111 1101(FDH)</p><p>  經(jīng)檢驗(yàn),輸出結(jié)果與計(jì)算結(jié)果相符,證明實(shí)驗(yàn)設(shè)計(jì)正確</p>

48、<p>  3.6 實(shí)驗(yàn)設(shè)計(jì)小結(jié)</p><p>  本次實(shí)驗(yàn)大體上分為三步。首先,是連接電路。其次,裝載課程設(shè)計(jì)指導(dǎo)書上設(shè)計(jì)的程序并測(cè)試運(yùn)行,通過觀察運(yùn)行情況和數(shù)據(jù)在總線上的流動(dòng),了解各功能部件的工作原理和工作機(jī)制以及流程圖各指令的含義。最后,自己設(shè)計(jì)程序并裝載運(yùn)行并檢驗(yàn)運(yùn)行結(jié)果。對(duì)于自己要設(shè)計(jì)的程序首先根據(jù)題目要求寫出它的匯編格式,再根據(jù)這個(gè)匯編格式寫出機(jī)器指令并設(shè)計(jì)出微程序流程圖。最后根據(jù)所設(shè)計(jì)的

49、微程序流程圖寫出其微指令代碼。這些工作完成后把所設(shè)計(jì)的機(jī)器指令和微程序代碼改寫成指定的格式裝載到實(shí)驗(yàn)系統(tǒng)上運(yùn)行,觀察運(yùn)行過程和設(shè)計(jì)目的是否一致。如果不一致,要進(jìn)行適當(dāng)?shù)男薷闹钡揭恢聻橹埂=?jīng)過多次修改,最終實(shí)現(xiàn)設(shè)計(jì)要求。通過這次實(shí)驗(yàn)設(shè)計(jì)是我加深了對(duì)機(jī)器指令和微指令的理解和計(jì)算機(jī)計(jì)算流程的了解。</p><p><b>  收獲體會(huì)和建議</b></p><p>  通過

50、這一次的課程設(shè)計(jì),我們收獲很大,我們了解了一個(gè)比較成熟的模型機(jī)的實(shí)現(xiàn),并在參與其中,體會(huì)其中的種種困難,了解到了難點(diǎn)的地方,這對(duì)于我們學(xué)習(xí)這門課程有著很大的幫助,同時(shí)對(duì)于之前的幾次試驗(yàn)我們操作機(jī)器錄入的理解也更加的深刻了,在設(shè)計(jì)過程中,我們從開始的粗略的一個(gè)概念,到中間的疑惑與焦慮,到解決了問題的快樂。這對(duì)于我們以后工作也有著很大的好處,培養(yǎng)了我們遇到問題,分析問題,解決問題各個(gè)方面上的能力。平時(shí)我們做作業(yè)也都是在做書本上面給我們的題目

51、,而這一次則是由我們自己設(shè)計(jì)出的,這對(duì)我們的創(chuàng)新意識(shí)也是一種很大大培養(yǎng)。我相信有了這種實(shí)驗(yàn)的經(jīng)歷,我們以后再就業(yè)的過程當(dāng)中也會(huì)多一份自信。</p><p>  我們希望學(xué)校以后可以多為我們提供這樣的機(jī)會(huì),這樣我們就可以在實(shí)踐中得到平時(shí)課堂上面所缺失的一些能力,同時(shí)希望學(xué)??梢栽趧e的學(xué)科也可以小組成員里這樣給我們提供如此之好的條件來進(jìn)行教學(xué)。這樣可以使我們的綜合素質(zhì)的到很好的提升。</p><p

52、><b>  參考文獻(xiàn)</b></p><p>  《計(jì)算機(jī)組成原理》 科學(xué)出版社 白中英著</p><p>  《計(jì)算機(jī)組成原理課程設(shè)計(jì)指導(dǎo)》 長安大學(xué) 周立著 </p><p><b>  教師點(diǎn)評(píng)</b></p><p>  教師姓名:

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論