2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩15頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p>  《電工與電子技術(shù)基礎(chǔ)》課程設(shè)計(jì)報(bào)告</p><p>  題 目 簡(jiǎn)易數(shù)字頻率計(jì) </p><p>  學(xué)院(部) 汽車學(xué)院 </p><p>  專 業(yè) 汽車運(yùn)用工程 </p><p>  班 級(jí) <

2、/p><p>  學(xué)生姓名 </p><p>  學(xué) 號(hào) </p><p>  6 月 7 日至 6 月 13 日 共 1 周</p><p>  指導(dǎo)教師(簽字) </p><p><b>  簡(jiǎn)易數(shù)字頻率計(jì)</b>&

3、lt;/p><p>  一、課題名稱和技術(shù)要求</p><p>  1)、課題名稱:簡(jiǎn)易數(shù)字頻率計(jì)</p><p>  2)、技術(shù)指標(biāo)和要求:</p><p>  1.2.1:被測(cè)信號(hào)的頻率范圍100Hz—10KHz;</p><p>  1.2.2:輸入信號(hào)為正弦信號(hào)或方波信號(hào);</p><p>

4、  1.2.3:四位數(shù)碼管顯示所測(cè)頻率,并用發(fā)光二極管顯示單位;</p><p>  *1.2.4:具有超量程報(bào)警功能。</p><p><b>  二、摘要</b></p><p>  在電子技術(shù)中,頻率是最基本的參數(shù)之一,并且與許多電參量的測(cè)量方案,測(cè)量結(jié)果都有十分密切的關(guān)系,因此頻率的測(cè)量顯得更為重要。測(cè)量頻率的方法有多種,其中電子技術(shù)器

5、測(cè)量頻率具有精度高、使用方便、測(cè)量迅速,以及便于實(shí)現(xiàn)測(cè)量過(guò)程自動(dòng)化等優(yōu)點(diǎn),是頻率測(cè)量的重要手段之一。電子計(jì)數(shù)器測(cè)頻有兩種方式:一是直接測(cè)頻法,即在一定閘門(mén)時(shí)間內(nèi)測(cè)量被測(cè)信號(hào)的脈沖個(gè)數(shù);二是間接測(cè)頻發(fā),如周期測(cè)頻法。直接測(cè)頻法適用于高頻信號(hào)的頻率測(cè)量,間接測(cè)頻法適用于低頻信號(hào)的頻率測(cè)量,測(cè)量采用了多周期同步測(cè)量法,它避免了直接測(cè)量法對(duì)精度的不足,同時(shí)消除了直接與間接相結(jié)合方法,需對(duì)被測(cè)信號(hào)的頻率與中介頻率的關(guān)系進(jìn)行判斷帶來(lái)的不便,能實(shí)現(xiàn)較

6、高的等精度頻率和周期的測(cè)量。本次設(shè)計(jì)的數(shù)字頻率計(jì)以555為核心,采用直接測(cè)頻法測(cè)頻。</p><p>  數(shù)字頻率即是近代電子技術(shù)領(lǐng)域的重要測(cè)量工具之一,同時(shí)也是其他許多領(lǐng)域廣泛應(yīng)用的測(cè)量?jī)x器。數(shù)字頻率計(jì)是在規(guī)定的基準(zhǔn)時(shí)間內(nèi)把測(cè)量的脈沖數(shù)記錄下來(lái),換算成頻率并以數(shù)字形式顯示出來(lái),數(shù)字頻率計(jì)用于測(cè)量信號(hào)(方波,正弦波或其他周期信號(hào))的頻率,并用十進(jìn)制數(shù)字顯示。它具有精度高,測(cè)量速度快,使用方便等優(yōu)點(diǎn)。</p&

7、gt;<p>  課程設(shè)計(jì)主要利用本學(xué)期所學(xué)數(shù)字電子基礎(chǔ)相關(guān)知識(shí)以及模擬電子技術(shù)做成。所用器件主要包括石英晶體振蕩器,中規(guī)模集成電路,即:用作分頻的器件74LS290。用作整形的施密特觸發(fā)器,控制電路與非門(mén),計(jì)數(shù)電路。</p><p>  三、總體設(shè)計(jì)方案論證及選擇</p><p> ?。ㄒ唬?、頻率測(cè)量的原理與方法</p><p>  對(duì)周期信號(hào)的頻率

8、測(cè)量通常有以下四種方法:</p><p>  3.1.1:測(cè)頻法(M法)</p><p>  測(cè)頻法以標(biāo)準(zhǔn)閘門(mén)信號(hào)對(duì)被測(cè)信號(hào)的重復(fù)周期數(shù)進(jìn)行計(jì)數(shù)來(lái)實(shí)現(xiàn),假設(shè)計(jì)數(shù)結(jié)果為N,閘門(mén)寬度為T(mén)G,則f=N/TG</p><p>  在TG期間,計(jì)數(shù)器的精確值為N,根據(jù)計(jì)數(shù)器的技術(shù)特征,N的絕對(duì)誤差是:N1=N±1,N的相對(duì)誤差為:&N=(N1-N)/N=&#

9、177;1/N,由上式可知,N越大,相對(duì)誤差越小,因此,為了減少誤差,可以通過(guò)增大TG的方法來(lái)降低測(cè)量誤差;但是,增大TG會(huì)使頻率測(cè)量的響應(yīng)時(shí)間長(zhǎng),通常取TG=1s 則有f=N,f的相對(duì)誤差:δf= ±1/f</p><p>  由上式可知,δf與f成反比關(guān)系,即信號(hào)頻率越高,誤差越??;而信號(hào)頻率越低,則測(cè)量誤差越大。所以,M法適合于對(duì)高頻信號(hào)的測(cè)量,頻率越高,測(cè)量精度也越高。</p>&

10、lt;p>  3.1.2:測(cè)周法(T法) </p><p>  首先把被測(cè)信號(hào)通過(guò)二分頻,獲得一個(gè)高電頻時(shí)間和低電平時(shí)間都是一個(gè)信號(hào)周期T的方波信號(hào);然后用一個(gè)已知周期的高頻方波信號(hào)作為計(jì)數(shù)脈沖,在一個(gè)信號(hào)周期T的時(shí)間內(nèi)對(duì)此高頻信號(hào)進(jìn)行計(jì)數(shù)。</p><p>  若在T時(shí)間內(nèi)的計(jì)數(shù)值為N2,則有</p><p>  T2=N2*Tosc f2=1/T2=1

11、/( N2*Tosc)= fosc/ N2</p><p>  N2的絕對(duì)誤差為:△N=±1</p><p><b>  N2的相對(duì)誤差為:</b></p><p>  δN2=(N2-N) /N=(N±1-N)/N= ±1/N</p><p>  從T2的相對(duì)誤差可以看出,周期測(cè)量的誤差與

12、信號(hào)頻率成正比,而與高頻你標(biāo)準(zhǔn)計(jì)數(shù)信號(hào)的頻率成反比。當(dāng)fosc為常數(shù)時(shí),被測(cè)信號(hào)頻率越低,誤差越小,測(cè)量精度也就越高。</p><p>  3.1.3: T/M法</p><p>  T/M法測(cè)量是采用兩個(gè)計(jì)數(shù)器,分別對(duì)被測(cè)信號(hào)f和高頻信號(hào)進(jìn)行計(jì)數(shù)。</p><p>  在確定的檢測(cè)時(shí)間內(nèi),若對(duì)被測(cè)信號(hào)f的計(jì)數(shù)值為N1,而對(duì)高頻信號(hào)fosc的計(jì)數(shù)值為N2.但對(duì)fos

13、c信號(hào)的計(jì)數(shù),必須直到f信號(hào)在第一個(gè)計(jì)數(shù)器停止計(jì)數(shù)后的一個(gè)完整的f信號(hào)周期。</p><p>  由此可得,N1個(gè)f信號(hào)周期的時(shí)間為T(mén)2=N2*Tosc,故每個(gè)f信號(hào)周期的時(shí)間為T(mén)3=(N2*Tosc)/N1,則有f3=1/T=N1/(N2*Tosc)=(N1*fosc)/N2</p><p>  由T3的相對(duì)誤差可知,T/M法測(cè)量的誤差與信號(hào)頻率成正比,與高頻標(biāo)準(zhǔn)信號(hào)的頻率成反比,但隨f

14、的增大,N1也在增大(在一定的檢測(cè)時(shí)間內(nèi))。由上式還可以看出,T3的相對(duì)誤差實(shí)際上是由M法誤差±f/fosc兩部分組成。</p><p>  3.1.4: F/V與A/D法</p><p>  這種頻率測(cè)量法是先通過(guò)F/V變換,把頻率信號(hào)轉(zhuǎn)換成電壓信號(hào);然后再通過(guò)A/D轉(zhuǎn)換把電壓信號(hào)轉(zhuǎn)換成數(shù)字信號(hào),再對(duì)數(shù)字信號(hào)進(jìn)行計(jì)數(shù),從而得到所測(cè)信號(hào)的頻率。</p><p&

15、gt; ?。ǘ?、時(shí)鐘脈沖產(chǎn)生電路的選擇</p><p>  時(shí)鐘脈沖產(chǎn)生電路通常有以下三種選擇:</p><p>  3.2.1:用RC環(huán)形多諧振蕩器,如圖1。它有兩個(gè)暫穩(wěn)態(tài)。設(shè)某時(shí)刻VE→ VA,因?yàn)殡娙萆系碾妷翰荒芡蛔?,所以,然后?高電平經(jīng)R對(duì)電容C充電,是逐漸升高,此時(shí)電路處于第一個(gè)暫穩(wěn)態(tài)。當(dāng) 上升到 時(shí),門(mén)U3導(dǎo)通, 同時(shí) VD達(dá)到最大,然后隨著電容C經(jīng)R、門(mén)U2輸出端放電,使

16、 逐漸降低,這時(shí)電路處于第二個(gè)暫穩(wěn)態(tài)。當(dāng) 降到 時(shí),門(mén)U3截止, VE→ VA,電路又開(kāi)始重復(fù)第一個(gè)過(guò)程,并且不停的振蕩,器輸出脈沖周期T=2.2RC。</p><p>  C 0.01uF</p><p>  U U2 1K 100Ω U3 U4</p><p>  A

17、 B D E </p><p><b>  圖一</b></p><p>  3.2.2:555定時(shí)器是一種集模擬、數(shù)字于一體的中規(guī)模集成電路,用555可連接成時(shí)鐘脈沖發(fā)生器。如圖2,電容C被充電,當(dāng) 上升到2VCC/3時(shí),使為低電平,同時(shí)放電三極管T導(dǎo)通,此時(shí)電容C通過(guò) 和T放電

18、, 下降。當(dāng) 下降到VCC/3時(shí), 翻轉(zhuǎn)為高電平。當(dāng)放電結(jié)束時(shí),T截止, 將通過(guò) 、 向電容器C充電。當(dāng) 上升到2VCC/3時(shí),電路又翻轉(zhuǎn)為低電平。如此周而復(fù)始,于是,在電路的輸出端就得到一個(gè)周期性的矩形波。電路的振蕩頻率為:</p><p><b>  f= = </b></p><p><b>  v0</b></p><

19、p><b>  R1 </b></p><p><b>  8 4</b></p><p>  7 3 </p><p>  R2 6 5&

20、lt;/p><p><b>  2</b></p><p><b>  1 </b></p><p>  C=0.01uf C=0.01uf </p><p><b>  圖二</b></

21、p><p>  3.3.3:用石英晶體組成石英晶體振蕩器,在電氣上它可以等效成一個(gè)電容和一個(gè)電阻并聯(lián)再串聯(lián)一個(gè)電容的二端網(wǎng)絡(luò),這個(gè)網(wǎng)絡(luò)有兩個(gè)諧振點(diǎn),以頻率的高低分其中較低的頻率是串聯(lián)諧振,較高的頻率是并聯(lián)諧振。由于晶體自身的特性致使這兩個(gè)頻率的距離相當(dāng)?shù)慕咏?,在這個(gè)極窄的頻率范圍內(nèi),晶振等效為一個(gè)電感,所以只要晶振的兩端并聯(lián)上合適的電容它就會(huì)組成并聯(lián)諧振電路。這個(gè)并聯(lián)諧振電路加到一個(gè)負(fù)反饋電路中就可以構(gòu)成正弦波振蕩電

22、路。</p><p>  G1用于震蕩;G2用于緩沖整形;R是反饋電阻,通常在幾兆歐到幾十兆歐間選??;R1起穩(wěn)定振蕩的作用,通常取十至幾百歐之間;C1是頻率微調(diào)電容,C2是溫度特性校正用電容,C1,C2串聯(lián)等與負(fù)載電容。它們與晶體共同構(gòu)成反饋網(wǎng)絡(luò)。電路的震蕩頻率及取決于石英晶體的并聯(lián)諧振頻率 ,與R、C的數(shù)值無(wú)關(guān)。</p><p> ?。ǘ?、方案論證及選擇:</p><

23、;p>  以上三種時(shí)鐘脈沖發(fā)生器的三種方案,方案1的振蕩周期不僅與時(shí)間常數(shù)RC有關(guān)而且方案1還取決于門(mén)電路的閾值電壓 。由于 容易受溫度、電源電壓及干擾的影響,因此頻率穩(wěn)定性較差,只能應(yīng)用于對(duì)頻率穩(wěn)定性要求不高的場(chǎng)合,方案三所涉及的石英振蕩器不在本學(xué)期的課程學(xué)習(xí)中,因此運(yùn)用起來(lái)有一定的難度,而方案二僅與時(shí)間常數(shù)RC有關(guān),本系統(tǒng)要求要產(chǎn)生穩(wěn)定性非常高的時(shí)鐘信號(hào),所以采用方案2。</p><p>  由本課程設(shè)

24、計(jì)的性能和技術(shù)指標(biāo)的要求可知,我們首先需要確定能滿足這些指標(biāo)的頻率測(cè)量方法。又通過(guò)對(duì)M方法和T方法的討論可知, M法如果被測(cè)信號(hào)頻率較低,則會(huì)產(chǎn)生較大誤差,除非閘門(mén)時(shí)間取得很大。所以這種方法比較適合測(cè)量高頻信號(hào)的頻率。T法這種方法比較適合測(cè)量頻率較低的信號(hào)。M/T法雖具有以上兩種方法的優(yōu)點(diǎn),在高、低頻測(cè)量中都能得到較高精度,但MIT法在M法、T法的切換頻率點(diǎn)處存在較大誤差,電路復(fù)雜,成本較高且測(cè)量時(shí)間波動(dòng)較大。</p>&

25、lt;p>  根據(jù)性能與技術(shù)的要求,首先需要確定能滿足這些指標(biāo)的測(cè)量方法。根據(jù)上述頻率測(cè)量原理與方法的討論,因此本課程設(shè)計(jì)我們選用測(cè)頻法(M法)來(lái)測(cè)頻率。</p><p>  由于測(cè)頻法的測(cè)量誤差與信號(hào)頻率成反比;信號(hào)頻率越低,測(cè)量誤差越大;信號(hào)頻率越高,其誤差越小。用測(cè)頻法所獲得的測(cè)量數(shù)據(jù),在閘門(mén)時(shí)間為1S,不需要進(jìn)行任何計(jì)算,計(jì)數(shù)器所記數(shù)據(jù)就是信號(hào)頻率;另外,在信號(hào)頻率較低時(shí),如1到100Hz,可以通過(guò)

26、增大閘門(mén)時(shí)間來(lái)提高測(cè)量數(shù)據(jù)。</p><p>  四、設(shè)計(jì)方案的原理框圖、總體電路圖、接線圖及說(shuō)明</p><p>  (一)、設(shè)計(jì)方案的原理框圖:</p><p>  數(shù)字頻率計(jì)實(shí)際上是一個(gè)脈沖計(jì)數(shù)器,即在單位時(shí)間里所統(tǒng)計(jì)的脈沖個(gè)數(shù)。圖(Ⅰ)是數(shù)字頻率計(jì)原理圖。該系統(tǒng)主要由輸入整形電路、晶體振蕩器、分頻器即量程選擇開(kāi)關(guān)、門(mén)控電路、邏輯控制電路、閘門(mén)、計(jì)數(shù)譯碼顯示電

27、路等組成。首先,把被測(cè)信號(hào)通過(guò)放大、整形電路將其轉(zhuǎn)換成同頻率的脈沖信號(hào),然后把它加入到閘門(mén)的一個(gè)輸入端。閘門(mén)的另一個(gè)輸入信號(hào)是門(mén)控電路發(fā)出的標(biāo)準(zhǔn)脈沖,有在門(mén)控電路輸入高電平時(shí),閘門(mén)被打開(kāi),被測(cè)量的的脈沖通過(guò)閘門(mén)進(jìn)入到計(jì)數(shù)器進(jìn)行計(jì)數(shù)。門(mén)控電路輸出高電平的時(shí)間T是非常準(zhǔn)確的,它由一個(gè)高穩(wěn)定的石英振蕩器和一個(gè)多級(jí)分頻器即量程選擇開(kāi)關(guān)共同決定。邏輯控制電路是控制計(jì)數(shù)器的工作順序的,使計(jì)數(shù)器按照一定的工作程序進(jìn)行有條理的工作。</p>

28、<p><b>  f(x)</b></p><p> ?。ǘ⒖傮w電路圖及接線圖:</p><p><b>  R2</b></p><p><b>  f(x)</b></p><p>  74LS47R3+5V</p><p>

29、<b>  55</b></p><p><b> ?。ㄈ⒄f(shuō)明:</b></p><p>  工作過(guò)程:在測(cè)試電路中設(shè)置一個(gè)555時(shí)鐘脈沖發(fā)生器,其產(chǎn)生的脈沖頻率為1MHZ,通過(guò)三個(gè)分頻器用于產(chǎn)生頻率為1KHZ的脈沖信號(hào),接通雙刀開(kāi)關(guān)S1(接通1KHZ的時(shí)候同時(shí)接通發(fā)紅光的二極管),每個(gè)脈沖持續(xù)的時(shí)間(周期為0.001s),通過(guò)一個(gè)D控制器其

30、周期變?yōu)?.002s,高電平時(shí)間為0.001s,在這段時(shí)間內(nèi)通過(guò)的被測(cè)信號(hào)(被測(cè)信號(hào)接通前應(yīng)當(dāng)接通電源,可以對(duì)四個(gè)計(jì)數(shù)器和四個(gè)鎖存器進(jìn)行清零,這樣可以避免接通被測(cè)信號(hào)時(shí)出現(xiàn)計(jì)數(shù)器和所存器因本身因素影響而產(chǎn)生的誤差)的個(gè)數(shù)通過(guò)四個(gè)計(jì)數(shù)器,進(jìn)而被鎖存器鎖存,當(dāng)時(shí)間超過(guò)高電平的0.001s后,閘門(mén)關(guān)閉,此時(shí)被測(cè)信號(hào)不能通過(guò)閘門(mén),在處于低電平的0.001s,計(jì)數(shù)器在D控制器的作用下(D控制器通過(guò)非門(mén)和或門(mén)將計(jì)數(shù)器清零,這樣由于通過(guò)了兩個(gè)門(mén)控電路

31、,就會(huì)產(chǎn)生一個(gè)時(shí)間差,實(shí)現(xiàn)計(jì)數(shù)器的存儲(chǔ)后清零)被清零,這樣計(jì)數(shù)器只將閘門(mén)開(kāi)通的0.001s內(nèi)通過(guò)的脈沖進(jìn)行計(jì)數(shù),再進(jìn)行譯碼,然后顯示出來(lái),顯示器顯示出的頻率的單位為KHZ,在時(shí)鐘脈沖發(fā)生器產(chǎn)生循環(huán)脈沖的作用下可以對(duì)不同時(shí)刻的被測(cè)信號(hào)進(jìn)行準(zhǔn)確讀數(shù)。</p><p>  同樣可知通過(guò)閘門(mén)信號(hào)控制開(kāi)關(guān)的轉(zhuǎn)換S1 S2(接通1HZ的時(shí)候同時(shí)接通發(fā)綠光的二極管),接通過(guò)6個(gè)分頻器的脈沖信號(hào),此時(shí)脈沖發(fā)生器的頻率轉(zhuǎn)化為1

32、HZ,每個(gè)脈沖持續(xù)的時(shí)間(周期為1s),通過(guò)一個(gè)D控制器其周期變?yōu)?s,高電平時(shí)間為1s,在這段時(shí)間內(nèi)通過(guò)的被測(cè)信號(hào)的個(gè)數(shù)在這段時(shí)間內(nèi)通過(guò)的被測(cè)信號(hào)的個(gè)數(shù)通過(guò)四個(gè)計(jì)數(shù)器,進(jìn)而被鎖存器鎖存,當(dāng)時(shí)間超過(guò)高電平的1s后,閘門(mén)關(guān)閉,此時(shí)被測(cè)信號(hào)不能通過(guò)閘門(mén),在處于低電平的1s中,計(jì)數(shù)器在D控制器的作用下(D控制器通過(guò)非門(mén)和或門(mén)將計(jì)數(shù)器清零,這樣由于通過(guò)了兩個(gè)門(mén)控電路,就會(huì)產(chǎn)生一個(gè)時(shí)間差,實(shí)現(xiàn)計(jì)數(shù)器的存儲(chǔ)后清零)被清零,這樣計(jì)數(shù)器只將閘門(mén)開(kāi)通的1

33、s內(nèi)通過(guò)的脈沖進(jìn)行計(jì)數(shù),再進(jìn)行譯碼,然后顯示出來(lái),顯示器顯示出的頻率的單位為HZ,在時(shí)鐘脈沖發(fā)生器產(chǎn)生循環(huán)脈沖的作用下可以對(duì)不同時(shí)刻的被測(cè)信號(hào)進(jìn)行準(zhǔn)確讀數(shù)。</p><p>  理論上根據(jù)所設(shè)計(jì)電路被測(cè)信號(hào)的測(cè)量范圍為1HZ~10 MHZ,但是在使用以KHZ為單位時(shí),其測(cè)量誤差將很大,尤其是小于10KHZ時(shí)999HZ將無(wú)法顯示,且9999HZ和9000HZ在顯示器上顯示的數(shù)是一樣的。</p><

34、;p>  五、單元電路設(shè)計(jì)、主要元器件選擇與電路參數(shù)計(jì)算</p><p> ?。ㄒ唬?、放大整形電路:</p><p>  任意形式信號(hào)經(jīng)過(guò)電壓比較器放大和整形變成方波信號(hào),和脈沖信號(hào)一起從控制門(mén)的輸入端輸入。如圖,在反相輸入端接地,在同相輸入端輸入被測(cè)信號(hào),在比較器的輸入端進(jìn)行模擬信號(hào)大小的比較,在輸出端則以高電平或低電平來(lái)反映比較結(jié)果。輸出波形圖如圖,此電壓比較器所用型號(hào)為MAX9

35、019。</p><p>  +5V U0</p><p><b>  +U0(sat)</b></p><p>  二)、閘門(mén)電路: </p><p>  這是一個(gè)與門(mén),只有脈沖信號(hào)和被測(cè)信號(hào)同時(shí)為“1”時(shí),閘門(mén)打開(kāi),其他情況閘門(mén)都關(guān)閉。</p><p>  當(dāng)控制器輸入的高電

36、平持續(xù)0.001s時(shí),與門(mén)就能通過(guò)一定數(shù)量的被測(cè)信號(hào)的方波個(gè)數(shù)并將通過(guò)的方波個(gè)數(shù)輸出給計(jì)數(shù)器,在控制器輸入的低電平持續(xù)0.001s內(nèi),被測(cè)信號(hào)不能通過(guò)與門(mén);同理,當(dāng)控制器輸入的高電平持續(xù)1s時(shí),與門(mén)就能通過(guò)一定數(shù)量的被測(cè)信號(hào)的方波個(gè)數(shù)并將通過(guò)的方波個(gè)數(shù)輸出給計(jì)數(shù)器,在控制器輸入的低電平持續(xù)1s內(nèi),被測(cè)信號(hào)不能通過(guò)與門(mén)。</p><p> ?。ㄈ⒚}沖信號(hào)發(fā)生器:</p><p>  此電

37、路由一個(gè)555芯片、兩個(gè)定值電阻,一個(gè)可調(diào)電阻和兩個(gè)電容組成。電路如圖3所示。由于高電平T1= R1 Cln2低電平T2=R2 Cln2,高電平T2= R2 Cln2可以通過(guò)改變RP來(lái)改變T1,T2的值,為了得到占空比為1/2的方波,且產(chǎn)生的頻率為1MHZ,即T1=T2=0.5us可以選定 R1=1000Ω,R2=1000Ω,RP=2000Ω計(jì)算可以得到C=357Pf.</p><p><b>  +U

38、cc</b></p><p>  R1 8 4</p><p><b>  R’1 </b></p><p><b>  7</b></p><p><b>  RP</b></p><p><b>  

39、D1</b></p><p>  R’2 u0</p><p><b>  R2</b></p><p><b>  6</b></p><p><b>  D2 2</b></

40、p><p>  C 1 5 0.01uF</p><p><b> ?。ㄋ模⒎诸l器:</b></p><p>  總共由六個(gè)74LS290組成的六級(jí)十分頻,為了使每個(gè)74LS290都能夠?qū)崿F(xiàn)十分頻,故使R0(1),R0(2),S9(1),S9(2)都接地,Q0與CP1連接在一起,Q3與下一個(gè)74LS290的CP0連接,依次

41、連接。信號(hào)從第一個(gè)74LS290的CP0輸入,從最后一個(gè)74LS290的CP1輸出。</p><p>  通過(guò)前三個(gè)74LS290組成的三級(jí)十分頻的分頻功能將信號(hào)分為KHZ接到與開(kāi)關(guān)S1連接的線路上,再經(jīng)過(guò)后面三個(gè)74LS290組成的三級(jí)十分頻的分頻功能將信號(hào)分為HZ接到與開(kāi)關(guān)S2連接的線路上。</p><p>  1MHZ 100kHZ10kHZ 1 kHZ

42、 100HZ 10HZ 1HZ</p><p>  555產(chǎn)生的1kHz的信號(hào)經(jīng)過(guò)三次分頻后得到3個(gè)頻率分別為100Hz、10Hz和1Hz的方波。</p><p>  圖3-2 1kHz的方波分頻后波形圖</p><p><b> ?。ㄎ澹?、控制電路:</b></p><p&g

43、t;  控制電路里面要產(chǎn)生計(jì)數(shù)清零信號(hào)和鎖存控制信號(hào)。控制電路工作波形的示意圖</p><p>  D控制器將輸入脈沖的周期擴(kuò)大到2倍,其輸入與輸出波形如下圖所示;</p><p><b>  輸入</b></p><p><b>  1S</b></p><p><b>  輸出<

44、/b></p><p><b>  1S </b></p><p>  該觸發(fā)器的特點(diǎn)如下:</p><p>  當(dāng)CP=0或CP=1時(shí),觸發(fā)器的輸出狀態(tài)均保持不變;只有在CP由0變?yōu)? 即在脈沖的上升沿時(shí)Q端才能跟著D輸入端變化。</p><p>  當(dāng)1s的方波信號(hào)經(jīng)過(guò)該D觸發(fā)器后產(chǎn)生周期為02s的

45、時(shí)鐘脈沖,即能產(chǎn)生使高電平和低電平持續(xù)1s的時(shí)鐘脈沖,輸入與門(mén)時(shí)就能使與門(mén)持續(xù)開(kāi)啟1s。;同理可得當(dāng)0.001s的方波信號(hào)經(jīng)過(guò)該D觸發(fā)器后產(chǎn)生周期為0.002s的時(shí)鐘脈沖,即能產(chǎn)生使高電平和低電平持續(xù)0.001s的時(shí)鐘脈沖,輸入與門(mén)時(shí)就能使與門(mén)持續(xù)開(kāi)啟0.001s。</p><p><b> ?。?、開(kāi)關(guān)控制器</b></p><p>  本設(shè)計(jì)選用型號(hào)為ZN72-

46、SHX801-00N型雙刀雙擲開(kāi)關(guān)。當(dāng)開(kāi)關(guān)連接到S1時(shí),接通周期為0.001s的信號(hào)即其頻率為1KHZ,同時(shí)紅光二極管電路也被接通,二極管發(fā)出紅光,所以就以二級(jí)管發(fā)出紅光作為此時(shí)信號(hào)的單位為KHZ;當(dāng)開(kāi)關(guān)連接到S2時(shí),接通周期為1s的信號(hào)即其頻率為1HZ,同時(shí)綠光二極管電路也被接通,二極管發(fā)出綠光,所以就以二級(jí)管發(fā)出綠光作為信號(hào)的單位為HZ。</p><p>  對(duì)發(fā)光二級(jí)管電路的分析計(jì)算:</p>

47、<p>  因?yàn)榘l(fā)光級(jí)管的工作電壓為1.5~3V,工作電流為幾毫安到幾十毫安,所以在+5V電源的點(diǎn)路中應(yīng)該串聯(lián)一個(gè)電阻R3,取發(fā)光二級(jí)管的工作電壓、電流分別為為2V、10mA,則由5-2=10*R3</p><p>  得R3=0.3KΩ=300Ω</p><p>  同理R3=R4=300Ω R3 S1</p>

48、<p><b> ?。ㄆ撸?、計(jì)數(shù)器</b></p><p>  經(jīng)過(guò)門(mén)控電路傳來(lái)的方波信號(hào)進(jìn)入計(jì)數(shù)器進(jìn)行計(jì)數(shù),選用四個(gè)74LS290十進(jìn)制計(jì)數(shù)器實(shí)現(xiàn)這一功能。由于74LS290十進(jìn)制計(jì)數(shù)器能記到的最大數(shù)字為九(其二進(jìn)制代碼為1001),當(dāng)?shù)谑畟€(gè)信號(hào)脈沖到來(lái)時(shí)變?yōu)?000,此時(shí)與Q3對(duì)應(yīng)的二進(jìn)制代碼由1變?yōu)?即相當(dāng)于一個(gè)下降沿脈沖,此時(shí)與其相連的另一個(gè)74LS290十進(jìn)制計(jì)數(shù)器的

49、二進(jìn)制代碼應(yīng)該由0000變?yōu)?001,所以只需將上一個(gè)的Q3與下一個(gè)的CP0相連即可實(shí)現(xiàn)這一功能,后面的連接也相同。</p><p>  74LS290引腳圖及邏輯功能</p><p><b> ?。ò耍㈡i存器</b></p><p>  經(jīng)過(guò)分頻后的方波信號(hào)經(jīng)過(guò)與門(mén)進(jìn)入計(jì)數(shù)器進(jìn)行計(jì)數(shù),74LS290十進(jìn)制計(jì)數(shù)器實(shí)現(xiàn)這一功能,同時(shí)74LS17

50、5實(shí)現(xiàn)對(duì)計(jì)數(shù)器所記信號(hào)脈沖的個(gè)數(shù)進(jìn)行鎖存,便于讀數(shù)。</p><p>  1.74LS290的外引腳排列圖和功能表同上一樣。</p><p>  2.鎖存器74LS175外引腳排列圖和功能表如下圖:</p><p>  其中,RD是異步清零控制端。在往寄存器中寄存數(shù)據(jù)或代碼之前,必須先將寄存器清零,否則有可能出錯(cuò)。1D~4D 是數(shù)據(jù)輸入端,在CP 脈沖上升沿作用下,

51、1D~4D端的數(shù)據(jù)被并行地存入寄存器。輸出數(shù)據(jù)可以并行從1Q~4Q 端引出,也可以并行從1Q~4Q 端引出反碼輸出。</p><p>  當(dāng)鎖存器接收到鎖存信號(hào)時(shí),鎖存器就把計(jì)數(shù)器中的二進(jìn)制代碼信號(hào)鎖存在鎖存器中,并從1Q~4Q 端引出至譯碼顯示器且持續(xù)一個(gè)高電平時(shí)間,等到下一個(gè)鎖存信號(hào)到來(lái)時(shí)又重新鎖存計(jì)數(shù)器中的二進(jìn)制代碼信號(hào)。</p><p><b> ?。ň牛⒆g碼顯示:&l

52、t;/b></p><p>  由74LS247進(jìn)行譯碼,將二進(jìn)制碼按其編碼譯成七個(gè)輸出信號(hào),再利用BS204的LED顯示器顯示所記頻率的大小。</p><p><b>  譯碼顯示單元如圖:</b></p><p>  圖中A0~A3分別接來(lái)自鎖存器1Q~4Q輸出端的二進(jìn)制代碼信號(hào),在每個(gè)鎖存器之后按以上連接順序連接起</p>

53、;<p>  來(lái),這樣經(jīng)過(guò)四個(gè)譯碼器的譯碼之后就能在四個(gè)BS204的LED顯示器上顯示出被測(cè)信號(hào)的頻率大小,再根據(jù)發(fā)光二極管發(fā)出的不同顏色的光就可以知道被測(cè)信號(hào)的頻率了。</p><p><b>  (十)附加部分</b></p><p>  1.對(duì)剛開(kāi)始要給計(jì)數(shù)器和鎖存器清零電路的分析:</p><p>  一般TTL與非門(mén)傳輸

54、延遲時(shí)間tpd的值為幾納秒~是幾納秒,</p><p>  這里取Tpd=10ns,對(duì)電容充電后要求VB>3V,故這里取R5=4R6,由R5</p><p>  于電容值較小,所以取R2=1KΩ, </p><p>  則由公式:(R5//2R6)*C=10ns

55、 </p><p>  得C=3.75Pf R6 C</p><p>  六、收獲與體會(huì)、存在的問(wèn)題等</p><p>  本次實(shí)習(xí)讓我體味到設(shè)計(jì)電路、連接電路、調(diào)測(cè)電路等過(guò)程中的困難與苦楚。而設(shè)計(jì)是我們將來(lái)必需的技能,也與我們的未來(lái)息息相關(guān)。對(duì)我來(lái)說(shuō),

56、這次課程設(shè)計(jì)真的是一項(xiàng)艱巨而富有挑戰(zhàn)性的任務(wù)!剛接到這個(gè)題目的時(shí)候,我的頭就大了:不僅僅是因?yàn)橐郧皼](méi)有做過(guò)類似的課題,覺(jué)得自己在這方面的能力實(shí)在有限,根本不可能完成一個(gè)自己從來(lái)都沒(méi)有接觸過(guò)的設(shè)計(jì),當(dāng)時(shí)老師說(shuō)有兩個(gè)任務(wù)是最繁瑣的,恰恰我們組就占了一個(gè),當(dāng)時(shí)心里有點(diǎn)不平衡,但是想想還是好好的做吧,這樣更能檢驗(yàn)自己的知識(shí),也可以學(xué)到更多的知識(shí)。</p><p>  拿到任務(wù)書(shū)的第二天,就開(kāi)始大量的查資料,上網(wǎng),去圖書(shū)館

57、搜索相關(guān)的東西 ,并且找了一份學(xué)長(zhǎng)做的,后來(lái)終于在查閱大量網(wǎng)絡(luò)和圖書(shū)資料后做出了原理圖。當(dāng)拿出自己的原理圖和別人比較的時(shí)候特別有成就感,因?yàn)槲覀兊膱D比他們的還幾倍還要多!在這一周多的課程設(shè)計(jì)實(shí)驗(yàn)中,我收獲的東西很多。它和以往實(shí)驗(yàn)都不同,這是我第一次在團(tuán)隊(duì)的配合下完成的電路設(shè)計(jì),在實(shí)驗(yàn)中不僅學(xué)到了非常多的知識(shí)還深深地感受到了團(tuán)結(jié)就是力量與智慧。這段時(shí)間里,在我們組成員默契的配合下,圓滿地完成了課程設(shè)計(jì)任務(wù),不僅鞏固了我們?cè)谡n堂上所學(xué)到的知

58、識(shí)還極大的增長(zhǎng)了見(jiàn)識(shí)。 </p><p>  總之,我非常地感謝這次課程設(shè)計(jì),它讓我懂得了很多東西,也學(xué)會(huì)了很多東西,這是一件非常有意義的任務(wù)。</p><p>  存在的問(wèn)題是當(dāng)單位開(kāi)關(guān)由1HZ轉(zhuǎn)換為1KHZ時(shí),對(duì)頻率的測(cè)量誤差將會(huì)很大,無(wú)論是9.999KHZ還是9.000KHZ,顯示器的結(jié)果都是9KHZ,雖然設(shè)計(jì)完成,但是在將來(lái)的時(shí)間里如何縮小誤差是接下來(lái)我們應(yīng)該思考的問(wèn)題。</

59、p><p><b>  七、參考文獻(xiàn)</b></p><p>  [1] 童詩(shī)白,華成英.模擬電子技術(shù)基礎(chǔ)[M].第3版.高等教育出版社,2004</p><p>  [2] 閻石.數(shù)字電子技術(shù)基礎(chǔ)[M]. 第5版.高等教育出版社,2006.</p><p>  [3]閻石,王紅.?dāng)?shù)字電子技術(shù)基礎(chǔ)習(xí)題解答[M]. 第5版.高

60、等教育出版社,2006.</p><p>  [4] 高吉祥,易凡.電子技術(shù)基礎(chǔ)實(shí)驗(yàn)與課程設(shè)計(jì)[M]. 第2版.電子工業(yè)出版社,2005.</p><p>  [5] 黃永定.電子實(shí)驗(yàn)綜合實(shí)訓(xùn)教程[M]. 第1版.機(jī)械工業(yè)出版社,2004.</p><p>  [6]劉宇征.電子電路設(shè)計(jì)與制作[M]. 第1版.福建科學(xué)技術(shù)出版社,2003.</p>&

61、lt;p>  [7] 楊旭東,劉行景,楊興瑤.實(shí)用電子電路精選[M]. 第1版.化學(xué)工業(yè)出版社,2000.</p><p>  [8] 嚴(yán)曉斌,孫克軍.電子技術(shù)問(wèn)答[M]. 第1版.機(jī)械工業(yè)出版社,2007.</p><p>  [9]湯山俊夫.數(shù)字電路設(shè)計(jì)與制作[M].第一版.北京:科學(xué)技術(shù)出版社,2003.</p><p>  [10]陳汝全.電子技術(shù)常用

62、器件應(yīng)用手冊(cè).第2版.機(jī)械工業(yè)出版社.2006.</p><p>  [11]梁宗善.新集成電路的應(yīng)用.第一版.華中理工大學(xué)出版社.2006.</p><p>  [12]卓鄭安.吳祖國(guó).張鑒忞.電路電子實(shí)驗(yàn)基礎(chǔ).同濟(jì)大學(xué)出版社.2005.</p><p><b>  八、附件</b></p><p><b>

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論