2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩20頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、<p><b>  畢業(yè)設(shè)計(論文)</b></p><p><b> ?。?屆)</b></p><p>  題 目路智能搶答器設(shè)計 </p><p>  二級學(xué)院 機(jī)械工程學(xué)院 </p><p>  專 業(yè)

2、 電子信息工程 </p><p>  班 級 </p><p>  學(xué) 號 </p><p>  學(xué)生姓名 </p><p>  指導(dǎo)教師

3、 </p><p><b>  目 錄</b></p><p><b>  第1章 緒論1</b></p><p>  1.1 單片機(jī)搶答器的背景1</p><p>  1.2 搶答器的意義1</p><p>  第2章 設(shè)計概述2</p

4、><p>  2.1 搶答器工作原理2</p><p>  2.2 設(shè)計目的與要求2</p><p>  2.3 設(shè)計任務(wù)2</p><p>  2.4 運(yùn)行環(huán)境及工具2</p><p>  第3章 系統(tǒng)硬件電路設(shè)計3</p><p>  3.1 AT89C51單片機(jī)硬件電路3</

5、p><p>  3.2 原理及電路總框圖3</p><p>  3.3 晶振復(fù)位及開始搶答電路4</p><p>  3.4 選手搶答鍵4</p><p>  3.5 顯示與顯示驅(qū)動電路5</p><p>  3.6 蜂鳴器音頻輸出電路6</p><p>  第4章 系統(tǒng)軟件設(shè)計7<

6、;/p><p>  4.1 程序設(shè)計7</p><p>  4.2 主程序設(shè)計7</p><p>  4.3 系統(tǒng)流程圖8</p><p>  4.4 程序清單9</p><p>  第5章 系統(tǒng)仿真18</p><p>  5.1 Proteus軟件介紹18</p>&l

7、t;p>  5.2 仿真電路設(shè)計18</p><p>  5.3 仿真測試18</p><p>  5.4 仿真結(jié)果分析20</p><p><b>  第6章 致謝21</b></p><p><b>  參考文獻(xiàn)21</b></p><p><b&g

8、t;  第1章 緒論</b></p><p>  1.1 單片機(jī)搶答器的背景</p><p>  二十世紀(jì)跨越了三個“電”的時代,即電氣時代、電子時代和現(xiàn)已進(jìn)入的電腦時代。不過,這種電腦,通常是指個人計算機(jī),簡稱PC機(jī)。它由主機(jī)、鍵盤、顯示器等組成。還有一類計算機(jī),大多數(shù)人卻不怎么熟悉。這種計算機(jī)就是把智能賦予各種機(jī)械的單片機(jī)(亦稱微控制器)。顧名思義,這種計算機(jī)的最小系統(tǒng)只用

9、了一片集成電路,即可進(jìn)行簡單運(yùn)算和控制。因為它體積小,通常都藏在被控機(jī)械的“肚子”里。它在整個裝置中,起著有如人類頭腦的作用,它出了毛病,整個裝置就癱瘓了?,F(xiàn)在,這種單片機(jī)的使用領(lǐng)域已十分廣泛,如智能儀表、實時工控、通訊設(shè)備、導(dǎo)航系統(tǒng)、家用電器等。各種產(chǎn)品一旦用上了單片機(jī),就能起到使產(chǎn)品升級換代的功效,常在產(chǎn)品名稱前冠以形容詞——“智能型”,如智能型洗衣機(jī)等?,F(xiàn)在有些工廠的技術(shù)人員或其它業(yè)余電子開發(fā)者搞出來的某些產(chǎn)品,不是電路太復(fù)雜,就

10、是功能太簡單且極易被仿制。究其原因,可能就卡在產(chǎn)品未使用單片機(jī)或其它可編程邏輯器件上[1]。</p><p>  在知識競賽中,特別是做搶答題時,在搶答過程中,為了更確切的知道哪一組或哪一位選手先搶答到題,必須要有一個系統(tǒng)來完成這個任務(wù)。若在搶答中,只靠人的視覺(或者是聽覺)是很難判斷出哪一組(或哪一個選手)先搶答到題的。利用單片機(jī)編程來設(shè)計搶答器,可以使以上問題得以解決,即使兩組的搶答時間相差幾微秒,也能輕松的

11、分辨出哪一組(或哪個選手)先搶答到題的。本文主要介紹了搶答器的工作原理及設(shè)計,以及它的實際用途。</p><p>  1.2 搶答器的意義</p><p>  本系統(tǒng)采用單片機(jī)作為整個控制核心??刂葡到y(tǒng)的四個模塊為:顯示模塊、存儲模塊、語音模塊、搶答開關(guān)模塊。該系統(tǒng)通過搶答電路鍵盤按鍵輸入搶答信號;利用一個數(shù)碼管來完成顯示功能;用按鍵來讓選手進(jìn)行搶答,在數(shù)碼管上顯示哪一組先答題的,從而實現(xiàn)

12、整個搶答過程。在知識比賽中,特別是做搶答題目的時候,在搶答過程中,為了知道哪一組或哪一位選手先答題,必須要設(shè)計一個系統(tǒng)來完成這個任務(wù)。如果在搶答中,靠視覺是很難判斷出哪組先答題。利用單片機(jī)系統(tǒng)來設(shè)計搶答器,使以上問題得以解決,即使兩組的搶答時間相差幾微秒,也可分辨出哪組優(yōu)先答題。</p><p><b>  第2章 設(shè)計概述</b></p><p>  2.1 搶答器

13、的工作原理</p><p>  搶答器的工作原理是采用單片機(jī)最小系統(tǒng),用查詢式鍵盤進(jìn)行搶答。采用動態(tài)顯示組號。主持人按下開始搶答鍵才可以搶答。主持人沒有按下開始搶答按紐(P3.2),有人搶答則搶答違規(guī),報警并顯示組號,主持人按下開始搶答開關(guān)重新?lián)尨?。主持人按下開始搶答按紐(P3.2),蜂鳴響聲提示,且數(shù)碼管10秒倒計時(10秒內(nèi)搶答有效),有人在10秒搶答,蜂鳴器響聲提示并顯示他的組號,3秒開始20秒倒計時(20

14、秒內(nèi)必須回答完問題)。20秒后主持人按下復(fù)位開關(guān)為下一題的搶答做準(zhǔn)備。單片機(jī)最小系統(tǒng)、搶答按鍵模塊(四位并行數(shù)碼顯示、4*4矩陣式鍵盤)、顯示模塊、顯示驅(qū)動模塊、搶答開關(guān)模塊、蜂鳴器音頻輸出模塊。工作時,用按鍵通過開關(guān)電路輸入各路的搶答信號,經(jīng)單片機(jī)的處理, 輸出控制信號,單片機(jī)控制的智能搶答器。</p><p>  2.2 設(shè)計目的與要求</p><p>  (1)熟悉電路,理解各個元件

15、之間的控制流程; </p><p> ?。?)熟悉PROTEUS及WAV6000平臺的運(yùn)行環(huán)境; </p><p>  (3)熟練掌握匯編語言,調(diào)用中斷子程序和對端口進(jìn)行讀寫數(shù)據(jù)的操作;</p><p> ?。?)理解掌握搶答器的原理及電路設(shè)計;</p><p><b>  2.3 設(shè)計任務(wù)</b></p>

16、<p> ?。?)多路數(shù)字搶答器的硬件和軟件設(shè)計; </p><p> ?。?)分析電路圖; </p><p> ?。?)畫出程序流程圖;</p><p><b> ?。?)編寫代碼;</b></p><p> ?。?)程序分析與調(diào)試;</p><p>  2.4 運(yùn)行環(huán)境及工具<

17、;/p><p> ?。?)WINDOWS XP系統(tǒng); </p><p> ?。?)PROTUES軟件; </p><p> ?。?)匯編編譯環(huán)境、匯編語言;</p><p>  第3章 系統(tǒng)硬件電路設(shè)計</p><p>  3.1 AT89C51單片機(jī)硬件電路</p><p>  單片機(jī)(SCM)是

18、單片微型計算機(jī)(Single Chip Microcomputer)的簡稱。它是把中央處理器CPU、隨機(jī)存儲器RAM、只讀存儲器ROM、I/O接口電路、定時/計數(shù)器以及輸入輸出適配器都集成在一塊芯片上,構(gòu)成一個完整的微型計算機(jī)。它的最大優(yōu)點(diǎn)是體積小,可放在儀表內(nèi)部,但存儲量小,輸入輸出適配器簡單,功能較低。目前,單片機(jī)在民用和工業(yè)測控領(lǐng)域得到最廣泛的應(yīng)用,早已深深地融入人們的生活中。近年來,AT89C51在我國非常流行,它最大的特點(diǎn)是內(nèi)

19、部有可以多次重復(fù)編程的ROM,并且ROM可以直接用編程器來擦寫,使用起來比較方便。本設(shè)計使用到的元器件包括:AT89C51芯片、數(shù)碼LED顯示器、七段LED數(shù)碼管的譯碼。其中AT89C51是系統(tǒng)的核心,它主要負(fù)責(zé)控制各個部分的協(xié)調(diào)工作。在其外圍接上復(fù)位電路,上拉電阻,數(shù)碼管,按鈕以及振蕩器,p1.3-p1.6為搶答輸入鍵接口,數(shù)碼管段選P2.0口,位選P2口,蜂鳴器輸出為P3.2口。</p><p>  3.2原

20、理及電路總框圖</p><p>  圖3.1 搶答器總原理圖</p><p>  3.3 晶振復(fù)位及開始搶答電路</p><p>  晶振的頻率為12MHZ,提供89C51的時鐘脈沖使89C51工作,復(fù)位電路是單片機(jī)初始化,使單片機(jī)重新開始執(zhí)行程序。當(dāng)復(fù)位開關(guān)按下RST由低電平變?yōu)楦唠娖?,則程序從頭開始執(zhí)行,在此次課程設(shè)計電路中當(dāng)一個問題結(jié)束主持人后按下復(fù)位開關(guān)后進(jìn)

21、行下一題的準(zhǔn)備。 </p><p>  圖3.2 復(fù)位及開始搶答電路</p><p>  3.4選手搶答鍵(矩陣式鍵盤)</p><p>  89C51的P1口做一個4*4的行列式鍵盤。P1.0至P1.3輪流輸出低電平在軟件查詢P1.3至P1.6的電平變化來輸入組號。給每一個選手編號1至4,當(dāng)選手按下按鈕時,電平變化從P1口輸入,經(jīng)單片機(jī)處理后從P0輸出由數(shù)碼管顯示

22、搶答者編號。 </p><p>  圖3.3 選手搶答電路</p><p>  3.5顯示器與顯示驅(qū)動電路</p><p>  數(shù)碼管的顯示可以分為兩種:靜態(tài)顯示和動態(tài)顯示。靜態(tài)顯示的段選位和位選位均單獨(dú)連接,因此占用的I/O接口多,無法擴(kuò)展多個數(shù)碼管。而數(shù)碼管動態(tài)顯示接口是單片機(jī)中應(yīng)用最為廣泛的一種顯示方式之一,動態(tài)驅(qū)動是將所有數(shù)碼管的8個顯示筆劃的同名端連在一起

23、,當(dāng)單片機(jī)輸出字形碼時,所有數(shù)碼管都接收到相同的字形碼,但究竟是那個數(shù)碼管會顯示出字形,取決于單片機(jī)對位選通COM端電路的控制,通過分時輪流控制各個數(shù)碼管的COM端,就使各個數(shù)碼管輪流受控顯示,這就是動態(tài)驅(qū)動。本次設(shè)計電路包括顯示和驅(qū)動,顯示采用數(shù)碼管,驅(qū)動用三極管PNP。數(shù)碼管要顯示搶答違規(guī)者編號、搶答10秒倒計時、正常搶答者編號和回答問題時間20秒倒計時,數(shù)碼管采用動態(tài)顯示。驅(qū)動電路PNP發(fā)射極接+5V電壓,當(dāng)基極為低電平是集電極為

24、高電平則能驅(qū)動數(shù)碼管使其顯示數(shù)字。因為PNP是模擬器件而數(shù)碼管為數(shù)字器件,PNP的集電極如果不接一個較大的電阻顯示就會出問題。所以在PNP的集電極接了一個10千歐的電阻。</p><p>  圖3.4 顯示器與顯示驅(qū)動電路</p><p>  3.6蜂鳴器音頻輸出電路</p><p>  蜂鳴器是一種電子電路中常用的發(fā)聲器件,蜂鳴器分為有源蜂鳴器和無源蜂鳴器兩種。提

25、供蜂鳴器發(fā)聲所需要較高的電流,單片機(jī)的I/O口驅(qū)動能力有限,而我們知道三極管有電流放大的作用,在這里就是利用三極管放大電流來使蜂鳴器獲得足夠的驅(qū)動電流。</p><p>  蜂鳴器音頻輸出電路的功能是用來報警,當(dāng)遇到報警信號時,發(fā)出蜂鳴聲,以此來提醒操作者。本電路通過控制不同頻率的矩形脈沖來控制蜂鳴器發(fā)聲。此次課程設(shè)計中只需要一些簡單的提示聲音,如有搶答違規(guī),開始搶答,搶答時間結(jié)束和回答問題時間到的提示聲音。本課

26、程設(shè)計從P3.3口輸出一個矩形脈沖來控制驅(qū)動器PNP集電極的高低電平時間來控制蜂鳴器的聲音。</p><p>  圖3.5 蜂鳴器音頻輸出電路圖</p><p>  第4章 系統(tǒng)軟件設(shè)計</p><p><b>  4.1 程序設(shè)計</b></p><p>  程序設(shè)計(Programming)是指設(shè)計、編制、調(diào)試程序的

27、方法和過程。它是目標(biāo)明確的智力活動。在進(jìn)行微機(jī)控制系統(tǒng)設(shè)計時,除了系統(tǒng)硬件設(shè)計外,大量的工作就是如何根據(jù)每個生產(chǎn)對象的實際需要設(shè)計應(yīng)用程序。在單片機(jī)控制系統(tǒng)中,大體上可分為數(shù)據(jù)處理、過程控制兩個基本類型。數(shù)據(jù)處理包括:數(shù)據(jù)的采集、數(shù)字濾波、標(biāo)度變換等。過程控制程序主要是使單片機(jī)按一定的方法進(jìn)行計算,然后再輸出[3]。</p><p>  為了完成上述任務(wù),在進(jìn)行軟件設(shè)計時,通常把整個過程分成若干個部分,每一部分叫

28、做一個模塊。把一個程序分成具有多個明確任務(wù)的程序模塊,分別編制、調(diào)試后再把它們連接在一起形成一個完整的程序,這樣的程序設(shè)計方法稱為模塊化程序設(shè)計。所謂“模塊”,實質(zhì)上就是能完成一定功能,并相對獨(dú)立的程序段,這種程序設(shè)計方法稱為模塊程序設(shè)計法。</p><p>  模塊程序設(shè)計法的主要優(yōu)點(diǎn)是:</p><p> ?。?)單個模塊比起一個完整的程序易編寫、調(diào)試及修改。</p>&

29、lt;p> ?。?)程序的易讀性好。</p><p> ?。?)程序的修改可局部化。</p><p> ?。?)模塊可以共存,一個模塊可以被多個任務(wù)在不同條件下調(diào)用。</p><p> ?。?)模塊程序允許設(shè)計者分割任務(wù)和利用已有程序,為設(shè)計者提供方便。</p><p>  本系統(tǒng)軟件采用模塊化結(jié)構(gòu),由主程序﹑鍵盤子程序、顯示驅(qū)動子程序

30、構(gòu)成。</p><p><b>  4.2 主程序設(shè)計</b></p><p>  為了能夠達(dá)到搶答的公平、公正、合理,應(yīng)該在主持人發(fā)布搶答命令之前必須先設(shè)定搶答的時間,因而在編開始搶答前的程序得先編寫設(shè)定時間的程序,當(dāng)時間設(shè)好了之后,主持人按開始鍵發(fā)布搶答命令,若在主持人未按開始鍵之前,有選手提前答題,則為違規(guī)搶答,蜂鳴器會發(fā)出警告聲,并在顯示器上顯示犯規(guī)的選手編號

31、。當(dāng)有選手搶答成功,則程序打開定時中斷開始倒計時,然后調(diào)用鍵盤掃描子程序,編寫鍵盤掃描程序,其他選手在此之后按鍵無效。當(dāng)在掃描到有人按下了搶答鍵,馬上關(guān)閉T0、調(diào)用顯示程序、封鎖鍵盤。</p><p><b>  4.3系統(tǒng)流程圖</b></p><p>  4.3.1硬件復(fù)位流程圖</p><p>  圖4.1硬件復(fù)位流程圖</p>

32、;<p>  4.3.2系統(tǒng)程序流程圖</p><p>  圖 4.2 系統(tǒng)程序流程圖</p><p>  4.3.3顯示搶答違規(guī)流程圖</p><p>  圖4.3顯示搶答違規(guī)流程圖</p><p>  4.3.4搶答成功流程圖</p><p>  圖4.4搶答成功流程圖</p><

33、p><b>  4.4 程序清單 </b></p><p><b>  ORG 0000H</b></p><p><b>  LJMP MAIN</b></p><p><b>  ORG 0013H</b></p><p><b>  

34、LJMP ESS1</b></p><p><b>  ORG 0100H</b></p><p>  MAIN:SETB EA </p><p>  SETB EX1 SETB IT1 ;外部中斷1初始化</p><p>  L16:MOV P1,#0FFH</p><p>

35、;  MOV R2,#00H</p><p><b>  CLR P1.0</b></p><p><b>  INC R2</b></p><p>  JB P1.4,L0</p><p><b>  LCALL DE0</b></p><p><

36、;b>  L0:INC R2</b></p><p>  JB P1.5,L1</p><p><b>  LCALL DE0</b></p><p><b>  L1:INC R2</b></p><p>  JB P1.6,L2</p><p><

37、b>  LCALL DE0</b></p><p><b>  L2:INC R2</b></p><p>  JB P1.7,L3</p><p><b>  LCALL DE0</b></p><p>  L3:SETB P1.0</p><p><

38、;b>  CLR P1.1</b></p><p><b>  INC R2</b></p><p>  JB P1.4,L4</p><p><b>  LCALL DE0</b></p><p><b>  L4:INC R2</b></p>

39、<p>  JB P1.5,L5</p><p><b>  LCALL DE0</b></p><p><b>  L5:INC R2</b></p><p>  JB P1.6,L6</p><p><b>  LCALL DE0</b></p>

40、<p><b>  L6:INC R2</b></p><p>  JB P1.7,L7</p><p><b>  LCALL DE0</b></p><p>  L7:SETB P1.1</p><p><b>  CLR P1.2</b></p>

41、<p><b>  INC R2</b></p><p>  JB P1.4,L8</p><p><b>  LCALL DE0</b></p><p><b>  L8:INC R2</b></p><p>  JB P1.5,L9</p><

42、p><b>  LCALL DE0</b></p><p><b>  L9:INC R2</b></p><p>  JB P1.6,L10</p><p><b>  LCALL DE0</b></p><p>  L10:INC R2</p><

43、p>  JB P1.7,L11</p><p><b>  LCALL DE0</b></p><p>  L11:SETB P1.2</p><p><b>  CLR P1.3</b></p><p><b>  INC R2</b></p><p

44、>  JB P1.4,L12</p><p><b>  LCALL DE0</b></p><p>  L12:INC R2</p><p>  JB P1.5,L13</p><p><b>  LCALL DE0</b></p><p>  L13:INC R2&

45、lt;/p><p>  JB P1.6,L14</p><p><b>  LCALL DE0</b></p><p>  L14:INC R2</p><p>  JB P1.7,L15</p><p><b>  LCALL DE0</b></p><p&

46、gt;  L15:LJMP L16 ;讀行列式鍵盤</p><p>  ESS1:MOV 70H,#30D ;外部中斷1</p><p>  MOV R7,#0CH</p><p>  CLR P3.0 </p><p>  S2:LCALL DELAY</p><p>  DJNZ 70H,S2</p&g

47、t;<p>  SETB P3.0 ;蜂鳴器提示開始搶答</p><p>  MOV TMOD,#00010000B </p><p>  MOV R3,#0AH</p><p>  L20:MOV 55H,#14H</p><p>  L19:MOV TH0,#3CH</p><p>  MOV T

48、L0,#0B0H ;定時器1初始化</p><p>  SETB TR1 ;啟動定時器1</p><p><b>  MOV A,R3</b></p><p>  MOV B,#0AH</p><p><b>  DIV AB</b></p><p>  MOV D

49、PTR,#TAB</p><p>  MOVC A,@A+DPTR</p><p><b>  MOV 53H,A</b></p><p>  LCALL DELAY1</p><p><b>  SETB P2.4</b></p><p><b>  MOV A,

50、B</b></p><p>  MOVC A,@A+DPTR</p><p><b>  MOV 54H,A</b></p><p><b>  CLR P2.5</b></p><p>  MOVC A,@A+DPTR</p><p><b>  MO

51、V 54H,A</b></p><p><b>  CLR P2.5</b></p><p>  MOV P0,54H</p><p>  LCALL DELAY1</p><p>  SETB P2.5 </p><p>  L18:JNB TF1,L18</p>&

52、lt;p>  D6:CJNE R3,#0FFH,L21</p><p><b>  LJMP L22</b></p><p>  L21:LJMP L20 ;搶答倒計時</p><p>  L22:MOV 73H,#02D</p><p>  S5:MOV 70H,#20D</p><p>

53、  MOV 71H,#20H</p><p><b>  CLR P3.0</b></p><p>  S4:LCALL DELAY</p><p>  DJNZ 70H,S4</p><p><b>  SETB P3.0</b></p><p>  S6:LCALL DE

54、LAY</p><p>  DJNZ 71H,S6</p><p>  DJNZ 73H,S5 ;搶答倒計時時間到聲音提示</p><p><b>  D5:RETI</b></p><p>  DE0:MOV DPTR,#TAB ;搶答違規(guī)報警并顯示搶答違規(guī)組號</p><p><b>

55、;  MOV A,R2</b></p><p><b>  MOV B,#0A</b></p><p>  S10:MOV 72H,#20D</p><p>  MOV 73H,#10D</p><p><b>  CLR P3.0</b></p><p>  S

56、8:LCALL LCC</p><p>  DJNZ 72H,S8</p><p><b>  SETB P3.0</b></p><p>  S9:LCALL LCC</p><p>  DJNZ 73H,S9</p><p>  DJNZ 71H,S10</p><p>

57、;  L17:LCALL LCC</p><p><b>  LJMP L17</b></p><p>  LCC:CLR P2.4</p><p>  MOV P0,50H</p><p>  LCALL DELAY</p><p>  DELAY1:MOV P1,#0FFH ;正常搶答讀鍵&l

58、t;/p><p><b>  W0:INC R2</b></p><p>  JB P1.5,W1</p><p><b>  LCALL DE1</b></p><p><b>  W1:INC R2</b></p><p>  JB P1.6,W2<

59、;/p><p><b>  LCALL DE1</b></p><p><b>  W2:INC R2</b></p><p>  JB P1.7,W3</p><p><b>  LCALL DE1</b></p><p>  W3:SETB P1.0&l

60、t;/p><p><b>  CLR P1.1</b></p><p><b>  INC R2</b></p><p>  JB P1.4,W4</p><p><b>  LCALL DE1</b></p><p><b>  W4:INC R

61、2</b></p><p>  JB P1.5,W5</p><p><b>  LCALL DE1</b></p><p><b>  W5:INC R2</b></p><p>  JB P1.6,W6</p><p><b>  LCALL DE1

62、</b></p><p><b>  W6:INC R2</b></p><p>  JB P1.7,W7</p><p><b>  LCALL DE1</b></p><p>  W7:SETB P1.1</p><p><b>  CLR P1.2

63、</b></p><p><b>  INC R2</b></p><p>  JB P1.4,W8</p><p><b>  LCALL DE1</b></p><p><b>  W8:INC R2</b></p><p>  JB P

64、1.5,W9</p><p><b>  LCALL DE1</b></p><p><b>  W9:INC R2</b></p><p>  JB P1.6,W10</p><p><b>  LCALL DE1</b></p><p>  W10:

65、INC R2</p><p>  JB P1.7,W11</p><p><b>  LCALL DE1</b></p><p>  W11:SETB P1.2</p><p><b>  CLR P1.3</b></p><p><b>  INC R2</

66、b></p><p>  JB P1.4,W12</p><p><b>  LCALL DE1</b></p><p>  W12:INC R2</p><p>  JB P1.5,W13</p><p><b>  LCALL DE1</b></p>

67、<p>  W13:INC R2</p><p>  JB P1.6,W14</p><p><b>  LCALL DE1</b></p><p>  W14:INC R2</p><p>  JB P1.7,W15</p><p><b>  LCALL DE1</b

68、></p><p>  W15:DJNZ R4,W16</p><p><b>  LJMP W18</b></p><p>  W16:LJMP W17</p><p><b>  W18:RET</b></p><p>  DE1:MOV P1,#0FFH ;搶答

69、成功聲音提示及回答問題時間20秒倒計時</p><p>  MOV 70H,#20D</p><p><b>  CLR P3.0</b></p><p>  S3:LCALL DELAY</p><p>  DJNZ 70H,S3</p><p><b>  SETB P3.0<

70、/b></p><p><b>  SETB P2.0</b></p><p><b>  SETB P2.1</b></p><p>  MOV DPTR,#TAB</p><p><b>  MOV A,R2</b></p><p><b

71、>  MOV A,B</b></p><p>  MOVC A,@A+DPTR</p><p><b>  MOV 57H,A</b></p><p>  MOV TMOD,#00000001B</p><p>  MOV R5,#16H</p><p>  L32:MOV R6

72、,#14H</p><p>  L31:MOV TH0,#3CH</p><p>  MOV TL0,#0B0H</p><p><b>  SETB TR0</b></p><p><b>  CLR P2.4</b></p><p>  MOV P0,56H</p&

73、gt;<p>  LCALL DELAY</p><p><b>  SETB P2.4</b></p><p><b>  CLR P2.5</b></p><p>  MOV P0,57H</p><p>  LCALL DELAY</p><p><

74、b>  SETB P2.5</b></p><p>  CJNE R5,#14H,L34</p><p><b>  LJMP L35</b></p><p>  L34: JC L35</p><p><b>  LJMP L30</b></p><p>

75、;  L35: MOV A,R5</p><p>  MOV B,#0AH</p><p><b>  DIV AB</b></p><p>  MOVC A,@A+DPTR</p><p><b>  MOV 58H,A</b></p><p><b>  MO

76、V A,B</b></p><p>  MOVC A,@A+DPTR</p><p><b>  MOV 59H,A</b></p><p><b>  CLR P2.6</b></p><p>  MOV P0,58H</p><p>  LCALL DELAY

77、</p><p><b>  SETB P2.6</b></p><p><b>  CLR P2.7</b></p><p>  MOV P0,59H</p><p>  LCALL DELAY</p><p><b>  SETB P2.7</b>&

78、lt;/p><p>  L30:JNB TF0,L30</p><p><b>  CLR TF0</b></p><p>  DJNZ R6,L31</p><p><b>  DEC R5</b></p><p>  CJNE R5,#0FFH,L32</p>

79、<p>  MOV P1,#0FFH</p><p>  MOV 70H,#50D</p><p><b>  CLR P3.0</b></p><p>  S7:LCALL DELAY</p><p>  DJNZ 70H,S7</p><p><b>  SETB P3.0

80、</b></p><p>  MOV P2,#0FFH</p><p>  MOV R3,#00H</p><p>  MOV R7,#00H</p><p><b>  RET</b></p><p>  DELAY:MOV 51H,#10D ;延時子程序</p>&

81、lt;p>  D0:MOV 52H,#248D</p><p>  D1:DJNZ 52H,D1</p><p>  DJNZ 51H,D0</p><p><b>  RET</b></p><p>  TAB:DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H<

82、/p><p><b>  END</b></p><p><b>  第5章 系統(tǒng)仿真</b></p><p>  5.1 Proteus軟件介紹</p><p>  在該設(shè)計中,利用Proteus軟件進(jìn)行仿真。Proteus運(yùn)行于Windows操作系統(tǒng)上,可以仿真、分析(SPICE)數(shù)字電路、模擬電路

83、、數(shù)?;旌想娐罚悄壳拔ㄒ荒軐崿F(xiàn)對51、PIC、AVR、HC11、ARM等處理器的仿真軟件[4]。</p><p>  5.2 仿真電路設(shè)計</p><p>  將單片機(jī)最小系統(tǒng)、顯示模塊、搶答開關(guān)模塊和音頻輸出模塊進(jìn)行PROTEUS設(shè)計。打開PROTEUS的ISIS編輯環(huán)境,從PROTEUS中選取該電路所需要的元器件,放置元器件、放置電源和地,再點(diǎn)菜單欄工具下拉的電氣規(guī)則檢查,當(dāng)規(guī)則檢查

84、出現(xiàn):“NETLIST GENERATED OK NO ERC ERRORD FOUND”,表示通過檢查,電路設(shè)計完成。</p><p>  5.3 仿真測試 </p><p>  5.3.1 開始搶答仿真</p><p>  主持人按開始按鈕后,進(jìn)入搶答10秒倒計時,仿真結(jié)果如圖5.1。</p><p>  圖5.1 10秒搶答倒計時開

85、始</p><p>  5.3.2搶答犯規(guī)仿真</p><p>  若在主持人還未按開始按鈕時,就搶答則為搶答犯規(guī)。4號選手在主持人未宣布開始時就搶答,從而造成犯規(guī),犯規(guī)仿真如圖5.3。</p><p>  圖5.2 未開始狀態(tài)</p><p>  圖5.3 4號選手犯規(guī)搶答</p><p>  5.3.3搶答成功仿

86、真</p><p>  主持人按開始按鈕后,10秒內(nèi)搶答則為成功搶答。仿真圖為15號選手成功搶答,搶答后進(jìn)入20秒倒計時答題時間,搶答成功仿真如圖5.4。</p><p>  圖5.4 15號選手成功搶答</p><p>  5.4 仿真結(jié)果分析</p><p>  通過對電路的仿真,可以看出基于AT89C51單片機(jī)控制的搶答器在PROTE

87、US軟件上可以很好的實現(xiàn)搶答報警、搶答成功顯示、搶答倒計時等一系列功能。</p><p>  當(dāng)仿真開始運(yùn)行時,各個模塊處于初始狀態(tài)。此時顯示器并無狀態(tài)顯示,但此時搶答鍵和蜂鳴器都處于開啟狀態(tài),若此時有選手按下?lián)尨疰I,則蜂鳴器會發(fā)出報警聲,并且在數(shù)碼管上顯示犯規(guī)的選手的編號。主持人重新按下開始鍵,此時表示搶答正式開始,并且數(shù)碼管顯示10秒倒計時,同時蜂鳴器發(fā)出聲音提醒選手搶答開始了。選手搶答成功,同時也進(jìn)入到倒計

88、時20秒答題時間。從仿真的測試結(jié)果來看,此設(shè)計還是能比較好的實現(xiàn)預(yù)期的目標(biāo)。</p><p><b>  致謝</b></p><p>  通過本次課程設(shè)計使我對Proteus仿真軟件的使用和匯編語言有了更進(jìn)一步的了解和掌握。這次課程設(shè)計讓我知道了搶答器的硬件和軟件的實現(xiàn)過程,并且通過自己動手編寫程序來控制搶答器的工作,我感到非常有成就感,也鍛煉了我的編程能力。<

89、;/p><p>  在此我非常要感謝的是我的指導(dǎo)老師老師,感謝老師的細(xì)心認(rèn)真的輔導(dǎo),教給我許多原來不知道的知識。這次課程設(shè)計能夠順利的完成,當(dāng)然有我個人的努力,但同時也離不開指導(dǎo)老師的答疑解惑和同學(xué)的幫助。</p><p><b>  參考文獻(xiàn)</b></p><p>  [1] 李泉溪. 《單片機(jī)原理與應(yīng)用實例仿真》.北京航天航空大學(xué)出版社 20

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論