電子與信息工程畢業(yè)論文光纖通信系統(tǒng)線(xiàn)路接口碼型hdb3碼的編譯碼設(shè)計(jì)_第1頁(yè)
已閱讀1頁(yè),還剩30頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p>  本 科 畢 業(yè) 設(shè) 計(jì)</p><p>  光纖通信系統(tǒng)線(xiàn)路接口碼型HDB3碼的編譯碼設(shè)計(jì)</p><p>  所在學(xué)院 </p><p>  專(zhuān)業(yè)班級(jí) 電子與信息工程 </p><p>  學(xué)生姓名 學(xué)號(hào)

2、 </p><p>  指導(dǎo)教師 職稱(chēng) </p><p>  完成日期 年 月 </p><p><b>  摘要</b></p><p>  光纖通信作為目前信息化最主要的通信技術(shù)之一,具有通信容量大、傳輸質(zhì)量高等優(yōu)點(diǎn)

3、,目前已大量應(yīng)用。在光纖通信中, 所采用的線(xiàn)路碼型通常為HDB3碼(3階高密度雙極性碼)。HDB3碼是串行數(shù)據(jù)傳輸?shù)囊环N重要編碼方式,也是數(shù)字通信系統(tǒng)中重要組成部分之一。HDB3碼是AMI碼的改進(jìn)型,既保持了AMI碼極性反轉(zhuǎn)的特點(diǎn),減少了連0串的長(zhǎng)度, 有利于提取定時(shí)信息。HDB3碼本身所具備的優(yōu)勢(shì)使信號(hào)的恢復(fù)和檢驗(yàn)變得十分簡(jiǎn)便,因此已廣泛應(yīng)用,是目前十分重要的傳輸碼型。在數(shù)字通信中,選擇適合在信道中傳輸?shù)拇a型是十分重要的,HDB3碼是

4、基帶傳輸系統(tǒng)中常用的碼型,因此,HDB3碼的編譯碼就顯得非常重要。</p><p>  本次畢業(yè)論文主要是在光纖通信系統(tǒng)中,通過(guò)對(duì)數(shù)字信號(hào)處理中信道編碼的基本原理以及HDB3碼編譯碼原理的分析,完成一種新的HDB3編譯碼器的設(shè)計(jì)。在Max+PlusII開(kāi)發(fā)軟件環(huán)境下,采用硬件編程VHDL語(yǔ)言的程序編寫(xiě)并仿真,實(shí)現(xiàn)了HDB3碼編譯碼器的設(shè)計(jì),并且給出了VHDL語(yǔ)言的實(shí)現(xiàn)方法、編譯碼設(shè)計(jì)的原理圖以及仿真下載波形結(jié)果。

5、經(jīng)過(guò)仿真驗(yàn)證,其HDB3碼編譯碼器的功能符合HDB3碼編譯碼的要求,并對(duì)其進(jìn)行仿真以及對(duì)仿真下載波形結(jié)果進(jìn)行分析,通過(guò)結(jié)果表明,本次設(shè)計(jì)達(dá)到了預(yù)定的要求和設(shè)計(jì)目的,并且證明了通過(guò)VHDL語(yǔ)言實(shí)現(xiàn)光纖通信系統(tǒng)線(xiàn)路接口碼型HDB3碼的編譯碼設(shè)計(jì)是可行的。</p><p>  關(guān)鍵詞:光纖通信系統(tǒng);HDB3碼;編譯碼</p><p><b>  Abstract</b>&l

6、t;/p><p>  As one of the main communication technology information at present,optical fiber communication has many advantages,just like big communication capacity and high transmission quality,and has lots of a

7、pplications currently。In optical fiber communication,the adoption of lines code is usually HDB3 code (third-order high-density bipolar code) 。HDB3 code is a kind of data transmission in serial data coding method,also is

8、an important part of digital communication system。HDB3 code is the improved model</p><p>  The graduation thesis is mainly based on the analysis of channel coding principle in the digital signal and the enco

9、ding and decoding of HDB3 code,to complete a new HDB3 coder and decoder design in Optical fiber communication system。Under the Max+PlusII software development environment,using hardware programming VHDL language to progr

10、am and simulate,and realized HDB3 coder and decoder design,and gives the realization method of VHDL language、the principle diagram of coder and decoder design and s</p><p>  Keywords:Optical fiber communicat

11、ion system;HDB3 code; encoding and decoding</p><p><b>  目錄</b></p><p><b>  第1章 概述1</b></p><p>  1.1 研究背景1</p><p>  1.2 本設(shè)計(jì)的主要工作2</p>&

12、lt;p>  第2章 光纖通信系統(tǒng)3</p><p>  2.1光纖通信的基本概念3</p><p>  2.2 數(shù)字光纖通信系統(tǒng)的基本結(jié)構(gòu)3</p><p>  2.3光纖通信系統(tǒng)的接口碼型的選擇4</p><p>  第3章 光纖通信線(xiàn)路接口碼型6</p><p>  3.1 信息論和編碼技術(shù)6&

13、lt;/p><p>  3.1.1 信息論6</p><p>  3.1.2 編碼理論與技術(shù)6</p><p>  3.2 常用碼型以及其特點(diǎn)6</p><p>  3.2.1 AMI碼7</p><p>  3.2.2 HDB3碼7</p><p>  3.2.3 雙相碼8</p

14、><p>  3.2.4 差分雙相碼8</p><p>  3.2.5 密勒碼8</p><p>  3.2.6 CMI碼9</p><p>  3.2.7 塊編碼9</p><p>  3.3 光纖通信線(xiàn)路接口碼型9</p><p>  3.4 HDB3碼的優(yōu)勢(shì)10</p>

15、<p>  第4章 解擾碼的設(shè)計(jì)11</p><p>  4.1 解擾碼的原理11</p><p>  4.2 基于圖形法的解擾碼的設(shè)計(jì)11</p><p>  4.2.1 擾碼的設(shè)計(jì)11</p><p>  4.2.2 解擾碼的設(shè)計(jì)12</p><p>  第5章HDB3編譯碼器的設(shè)計(jì)14&

16、lt;/p><p>  5.1 HDB3編碼器的設(shè)計(jì)14</p><p>  5.1.1 HDB3編碼器原理14</p><p>  5.1.2 基于VHDL的HDB3編碼器的設(shè)計(jì)14</p><p>  5.2 HDB3譯碼器的設(shè)計(jì)21</p><p>  5.2.1 HDB3譯碼器原理21</p>

17、<p>  5.2.2 基于VHDL的HDB3譯碼器的設(shè)計(jì)21</p><p><b>  小結(jié)24</b></p><p>  致謝錯(cuò)誤!未定義書(shū)簽。</p><p><b>  參考文獻(xiàn)25</b></p><p><b>  第1章 概述</b>&l

18、t;/p><p><b>  1.1 研究背景</b></p><p>  光纖通信的誕生和發(fā)展是現(xiàn)代通信業(yè)的一次重大突破,目前已經(jīng)成為現(xiàn)代通信網(wǎng)中主要的傳輸手段之一。</p><p>  作為一門(mén)新興技術(shù),光纖通信的發(fā)展歷史只有一二十年,卻已經(jīng)歷三代:短波長(zhǎng)多模光纖、長(zhǎng)波長(zhǎng)多模光纖和長(zhǎng)波長(zhǎng)單模光纖,其發(fā)展速度之快是現(xiàn)代通信史上非常罕見(jiàn)的,更是非常

19、值得深究的。</p><p>  光纖通信的發(fā)展依靠于光纖通信技術(shù)的進(jìn)步,作為信息技術(shù)的重要支撐平臺(tái),光纖通信技術(shù)在現(xiàn)代信息社會(huì)中起著舉足輕重的作用。光纖通信技術(shù)的發(fā)展進(jìn)程,大致可概括為三個(gè)階段:</p><p>  第一階段是光導(dǎo)纖維和半導(dǎo)體激光器于1970年至1979年內(nèi)研制成功,使得光線(xiàn)通信進(jìn)入實(shí)用化階段,世界上第一個(gè)光纖通信系統(tǒng)是于1977年在美國(guó)亞特蘭大的光纖市局的中繼系統(tǒng)。&l

20、t;/p><p>  第二階段是光纖技術(shù)于1979年至1989年獲得了進(jìn)一步的突破,使得光纖衰耗降到了0.5dB/km以下。波長(zhǎng)逐漸由短波長(zhǎng)轉(zhuǎn)至長(zhǎng),光纖由多模光纖轉(zhuǎn)向單模光纖,數(shù)字系統(tǒng)的速率得到了不斷的提高,解決了光纖的鏈接技術(shù)和期間的壽命,光傳輸系統(tǒng)和光纜線(xiàn)路建設(shè)漸漸步入了高潮。</p><p>  第三階段是光纖數(shù)字系統(tǒng)是于1989年由PDH過(guò)渡到SDH,且提高了傳輸?shù)乃俾?。摻餌光纖放大器

21、(EDFA)于1989年的誕生給光纖通信技術(shù)造成了較大的影響。EDFA的應(yīng)用不但解決了光纖在長(zhǎng)距離傳輸中衰耗的放大問(wèn)題,并且波分復(fù)用器件、色散補(bǔ)償元件、光源的外調(diào)制等提供了能量的補(bǔ)償,應(yīng)用了這些網(wǎng)絡(luò)元件,讓光傳輸系統(tǒng)的調(diào)制速率提高了很多,也使得光波分復(fù)用技術(shù)更加的實(shí)用化[1]。</p><p>  各類(lèi)光纖通信系統(tǒng)于20世紀(jì)70年代以后都陸續(xù)發(fā)展起來(lái),大致可概括成以下幾個(gè)階段:</p><p&

22、gt;  第1代光纖通信系統(tǒng)在20世紀(jì)70年代末大量投入營(yíng)運(yùn),由0.85μm波長(zhǎng)上光纖損耗較大,多模光纖的傳輸帶寬有限,因而第1代光纖通信系統(tǒng)只能在低速率、短距離條件下,例如1976年美國(guó)在亞特蘭大安裝的商用系統(tǒng),傳輸碼速率為44 Mbit/s,傳輸距離僅10 km。</p><p>  20世紀(jì)80年代初,采用1.3μm的半導(dǎo)體發(fā)光二極管或激光二極管作為光源,再加上多模光纖構(gòu)成了早期的第2代光纖通信系統(tǒng)。由于在

23、1.3μm波段上光纖損耗較低,因而無(wú)中繼傳輸距離可達(dá)到20 km。但由于多模光纖嚴(yán)重的多徑色散,早期的第2代光纖通信系統(tǒng)仍只能用在低速率場(chǎng)合,傳輸速率在140 Mbit/s以下。</p><p>  20世紀(jì)80年代中期投入營(yíng)運(yùn)的第2代光纖通信系統(tǒng)由1.3μm的半導(dǎo)體激光器和單模光纖構(gòu)成。在1.3μm波長(zhǎng)上單模光纖不僅傳輸損耗低,而且色散小,因此適宜于長(zhǎng)距離、高速率傳輸,典型的傳輸速率是140 Mbit/s,中繼

24、距離超過(guò)了30 km。第3代光纖通信系統(tǒng)采用1.55μm作為工作波長(zhǎng),以色散位移光纖作為傳輸媒介。在1.55μm波長(zhǎng)上光纖損耗最小,同時(shí)由于采用了色散位移光纖,在此波長(zhǎng)上色散也最小,因而這種系統(tǒng)適宜于超高速率、長(zhǎng)距離無(wú)中繼傳輸。第3代光纖通信系統(tǒng)的典型傳輸速率為565 Mbit/s、622 Mbit/s和2.488 Gbit/s,中繼距離超過(guò)100 km。第3代光纖通信系統(tǒng)自20世紀(jì)80年代后期研制成功以來(lái),已大量投入使用。</p

25、><p>  第4代光纖通信系統(tǒng)采用波分復(fù)用(WDM)技術(shù),在同一根光線(xiàn)中傳輸多個(gè)光載波,獲得了更高的傳輸速率,同時(shí)采用光纖放大器直接放大光信號(hào)以代替?zhèn)鹘y(tǒng)的光-電-光中繼方式。這種系統(tǒng)的傳輸速率已經(jīng)超過(guò)10 Gbit/s,并已投入營(yíng)運(yùn)。</p><p>  第5代光纖通信系統(tǒng)經(jīng)過(guò)了將近20年的發(fā)展,是在光纖非線(xiàn)性壓縮抵消光纖色散展寬的基礎(chǔ)上產(chǎn)生的光孤子,從而使得光脈沖信號(hào)在傳輸過(guò)程中能夠保持不

26、變的形狀[2]。</p><p>  光纖通信的優(yōu)越性逐步體現(xiàn)于光放大技術(shù)的應(yīng)用、光纖傳輸性能的改善和傳輸色散補(bǔ)償技術(shù)的應(yīng)用,另一方面,系統(tǒng)的容量和傳輸速率也得到了一定的提高。我們就可以想象:在各種技術(shù)、器件、工藝進(jìn)一步的研究了解中,光纖傳輸即將進(jìn)入光集成、光放大、光交換、光交叉連接和光分插復(fù)用的全光網(wǎng)絡(luò)?,F(xiàn)在,全光網(wǎng)絡(luò)還剛剛起步,如果想要得到的光網(wǎng)絡(luò)層是以光交換技術(shù)和WDM技術(shù)為核心的,形成真正的全光網(wǎng)絡(luò),我們

27、就必須要把電光瓶頸消除掉,當(dāng)然未來(lái)信息化網(wǎng)絡(luò)的重點(diǎn)也是這個(gè)[3]。</p><p>  1.2 本設(shè)計(jì)的主要工作</p><p>  本文共分為5章,編寫(xiě)時(shí)首先介紹了本次課題的研究背景,然后討論了光纖通信系統(tǒng)的基本概念以及光纖通信系統(tǒng)的接口碼型的選擇,另外還介紹了光纖通信線(xiàn)路接口碼型,接著介紹了解擾碼的設(shè)計(jì),最后介紹了基于VHDL的HDB3編譯碼器的設(shè)計(jì)。第1章簡(jiǎn)單介紹了光纖通信的發(fā)展歷史

28、和在現(xiàn)在信息社會(huì)中起到的舉足輕重的作用,以及各種各樣的光纖通信系統(tǒng)的發(fā)展階段。第2章主要介紹了光纖通信的基本概念,數(shù)字光纖通信系統(tǒng)的基本結(jié)構(gòu),并且介紹了光纖通信系統(tǒng)的接口碼型的選擇。第3章基于光纖通信線(xiàn)路接口碼型,介紹了信息論和編碼技術(shù),以及在基帶傳輸系統(tǒng)中適合在信道中傳輸?shù)某S么a型,和光纖通信線(xiàn)路接口碼型,其中著重分析了HDB3碼在使用中的優(yōu)勢(shì),借此引出本文的主題光纖通信系統(tǒng)線(xiàn)路接口碼型HDB3碼的編譯碼設(shè)計(jì)。第4章就解擾碼的設(shè)計(jì)進(jìn)行

29、了編寫(xiě),給出了解擾碼的原理以及原理圖,并且在Max+PlusII開(kāi)發(fā)軟件環(huán)境下,根據(jù)圖形法設(shè)計(jì)進(jìn)行了仿真,得出了仿真結(jié)果,并對(duì)仿真結(jié)果進(jìn)行了分析。第5章是本文的中心——基于VHDL的HDB3編譯碼器的設(shè)計(jì),在Max+PlusII開(kāi)發(fā)軟件環(huán)境下,基于VHDL語(yǔ)言的程序編寫(xiě)并仿真,實(shí)現(xiàn)了HDB3編譯碼器的</p><p>  第2章 光纖通信系統(tǒng) </p><p>  2.1光纖通信的基本概念

30、</p><p>  光纖通信,是以激光源產(chǎn)生的光波為信號(hào)載頻,光導(dǎo)纖維為傳輸介質(zhì)的通信方式。</p><p>  光纖通信技術(shù)是20世紀(jì)70年代初期興起的,之所以發(fā)展迅猛,主要取決于它所具備的優(yōu)越性,主要有以下幾點(diǎn):(1)傳輸頻帶寬,通信容量大;(2)傳輸損耗小,中繼距離長(zhǎng);(3)絕緣、抗電磁干擾的能力強(qiáng);(4)無(wú)串音干擾,保密性好;(5)光纖線(xiàn)徑細(xì),重量輕,柔軟;(6)光纖的原材料資源

31、豐富,用光纖可節(jié)約金屬材料[3]。</p><p>  2.2 數(shù)字光纖通信系統(tǒng)的基本結(jié)構(gòu) </p><p>  光纖通信系統(tǒng)中,目前應(yīng)用最廣泛的兩種系統(tǒng)結(jié)構(gòu)為:一種是點(diǎn)到點(diǎn)的直接強(qiáng)度調(diào)制/直接檢測(cè)(IM/DD)系統(tǒng),根據(jù)傳輸信號(hào)的性質(zhì)不同,又可分為數(shù)字光纖通信系統(tǒng)和模擬光纖通信系統(tǒng);另一種是波分復(fù)用光纖通信[2]。</p><p>  目前使用比較多的系統(tǒng)形式是強(qiáng)

32、度調(diào)制/直接檢波(IM/DD)的光纖數(shù)字通信系統(tǒng)。光纖數(shù)字通信系統(tǒng)主要是由光發(fā)射機(jī)、光纖、光接收機(jī)以及長(zhǎng)途干線(xiàn)上必須設(shè)置的光中繼器組成的[3],如圖2.1所示。</p><p>  圖2.1 光纖數(shù)字通信系統(tǒng)原理框圖</p><p>  在點(diǎn)對(duì)點(diǎn)的光纖數(shù)字通信系統(tǒng)中,信號(hào)的傳輸過(guò)程為:首先將電發(fā)射機(jī)輸出的脈碼調(diào)制信號(hào)送入光發(fā)射機(jī),光發(fā)射機(jī)的核心器件是能夠完成電-光轉(zhuǎn)換的半導(dǎo)體光源,將傳送過(guò)

33、來(lái)的電信號(hào)轉(zhuǎn)換成光信號(hào)耦合進(jìn)光纖。在收發(fā)端機(jī)之間,為了保證通信質(zhì)量,在其適當(dāng)距離上必須設(shè)有光中繼器。光纖通信中,光中繼器的形式主要有兩種,一種是光-電-光轉(zhuǎn)換形式的中繼器,另一種是在光信號(hào)上直接放大的光放大器。光接收機(jī)的核心器件是能夠完成光/電轉(zhuǎn)換任務(wù)的光電檢測(cè)器,將光纖傳送過(guò)來(lái)的光信號(hào)轉(zhuǎn)換成電信號(hào),然后對(duì)電信號(hào)進(jìn)行處理,使其恢復(fù)成原來(lái)的脈碼調(diào)制信號(hào)再送入電接收機(jī)。在通信系統(tǒng)的線(xiàn)路上,目前主要采用由單模光纖制成的不同結(jié)構(gòu)形式的光纜,這是

34、由于它具有較好的傳輸特性[3]。</p><p>  2.3光纖通信系統(tǒng)的接口碼型的選擇</p><p>  標(biāo)準(zhǔn)的接口碼型按照數(shù)字通信的模式來(lái)分,有兩種:HDB3碼和CMI碼。</p><p>  在數(shù)字光纖通信系統(tǒng)中,HDB3碼是數(shù)字光纖通信設(shè)備和相應(yīng)PCM設(shè)備之間的接口碼型。</p><p>  HDB3碼的編碼實(shí)質(zhì)上就是將二進(jìn)制傳號(hào)編

35、譯成交替反轉(zhuǎn)碼,若二進(jìn)制中空號(hào)的數(shù)目最大為3時(shí),則HDB3碼就和AMI碼一樣,消息中的“1”碼用+1和-1交替變換,而空號(hào)“0”則保持不變。但是當(dāng)二進(jìn)制中連“0”的數(shù)目超過(guò)3時(shí),就把每4個(gè)連“0”化作一小節(jié),定義為B00V,即破壞節(jié),其中“V”破壞了極性交替原則,則為破壞脈沖,同一取代節(jié)中,“V”脈沖和前一個(gè)非“0”脈沖之間的極性相同,而且相鄰的“V”脈沖之間的極性相反,取值為+1或-1。而“B”為調(diào)節(jié)脈沖,用來(lái)確保HDB3碼中相鄰的“

36、V”脈沖之間的脈沖數(shù)目為奇數(shù),“B”的取值可選0、+1和-。</p><p>  HDB3碼的編碼電路原理框圖如圖2.2所示。圖中,V脈沖插入和B脈沖形成電路在編碼電路中其實(shí)是一個(gè)邏輯電路,當(dāng)二進(jìn)制中空號(hào)的數(shù)目最大為3時(shí),則輸出為輸入的信號(hào)序列碼。但是當(dāng)二進(jìn)制中空號(hào)的數(shù)目超過(guò)3時(shí),則就把每4個(gè)空號(hào)串中的第4個(gè)空號(hào)用“V”脈沖替代。電路中的“B”脈沖添補(bǔ)電路則是在插入的“V”脈沖正好在4空號(hào)串中第一個(gè)空號(hào)的位置上時(shí)

37、進(jìn)行的。破壞點(diǎn)形成電路其實(shí)就是將取代節(jié)中補(bǔ)放的“1”碼變換成破壞點(diǎn),最后通過(guò)傳號(hào)交替反轉(zhuǎn)電路來(lái)輸出HDB3碼的序列。</p><p>  圖2.2 HDB碼編碼電路原理框圖</p><p>  HDB3碼的譯碼過(guò)程其實(shí)就是HDB3編碼的反過(guò)程,如圖2.3。首先將輸入正負(fù)極性交替的HDB3碼進(jìn)行雙/單極性變換,最后輸出的兩路二值碼一路在另一路為空號(hào)的情況下可能會(huì)非連續(xù)出現(xiàn)兩個(gè)脈沖信號(hào),圖中的

38、V脈沖檢出電路的作用是檢測(cè)出連出兩個(gè)脈沖中的第二個(gè)脈沖即“V”脈沖,然后通過(guò)信號(hào)合成電路將+HDB3和-HDB3兩路信號(hào)合成,最后通過(guò)B,V脈沖扣除電路利用“V”脈沖將信號(hào)合成電路中的輸出信號(hào)中扣除“B”和“V”,并且還原成NRZ信號(hào)[4]。</p><p>  圖2.3 HDB碼譯碼電路原理框圖</p><p>  在數(shù)字光纖通信系統(tǒng)中,CMI碼是四次群數(shù)字光纖通信設(shè)備和四次群PCM設(shè)備

39、之間的接口碼。CMI的編碼電路比較簡(jiǎn)單,就是將二值碼NRZ序列中的“1”和“0”狀態(tài)進(jìn)行分離,然后將“1”碼交替用“11”和“00”兩位碼表示,“0”碼固定地用“01”表示,最后再將其合成輸出就是CMI碼。CMI碼的譯碼過(guò)程不是CMI的逆過(guò)程,是采用延時(shí)CMI碼T/2(半比特時(shí)間)然后相加,時(shí)鐘讀出的方法。CMI碼的編譯碼電路原理框圖如圖2.4。</p><p>  圖2.4 CMI碼的編譯碼電路原理框圖<

40、/p><p>  第3章 光纖通信線(xiàn)路接口碼型 </p><p>  3.1 信息論和編碼技術(shù)</p><p><b>  3.1.1 信息論</b></p><p>  信息論是信息科學(xué)主要理論基礎(chǔ)之一,它是在長(zhǎng)期通信工程實(shí)踐和理論研究的基礎(chǔ)上發(fā)展起來(lái)的。信息論研究的內(nèi)容,大致包括以下幾個(gè)方面。</p>&

41、lt;p> ?。?)通信的統(tǒng)計(jì)理論研究</p><p>  主要研究利用統(tǒng)計(jì)數(shù)學(xué)工具分析信息和信息傳輸?shù)慕y(tǒng)計(jì)規(guī)律,其具體內(nèi)容有:(1)信息的測(cè)度;(2)信息速率與熵;(3)信道傳輸能力——信道容量。</p><p> ?。?)信源的統(tǒng)計(jì)特性</p><p>  主要包括:(1)文字(如漢字)、字母(如英文)的統(tǒng)計(jì)特性;(2)語(yǔ)音的參數(shù)分析和統(tǒng)計(jì)特性;(3)圖片

42、及活動(dòng)圖像(如電視)的統(tǒng)計(jì)特性;(4)其他信源的統(tǒng)計(jì)特性。</p><p> ?。?)編碼理論與技術(shù)的統(tǒng)計(jì)特性</p><p>  主要包括:(1)有效性編碼:用來(lái)提高信息傳輸效率,它主要是針對(duì)信源的統(tǒng)計(jì)特性進(jìn)行編碼,所以也稱(chēng)為信源編碼;(2)抗干擾編碼:用來(lái)提高信息傳輸?shù)目煽啃?,它主要是針?duì)信道統(tǒng)計(jì)特性進(jìn)行編碼,所以也稱(chēng)為信道編碼。</p><p> ?。?)提高

43、信息傳輸效率的研究</p><p>  主要包括:(1)功率的節(jié)約;(2)頻帶的壓縮;(3)傳輸時(shí)間的縮短,即快速傳輸問(wèn)題。</p><p> ?。?)抗干擾理論與技術(shù)的研究</p><p>  主要包括:(1)各種調(diào)制制式的抗干擾性;(2)理想接收機(jī)的實(shí)現(xiàn)。</p><p>  (6)噪聲中信號(hào)檢測(cè)理論與技術(shù)的研究</p>&

44、lt;p>  主要包括:(1)信號(hào)檢測(cè)的最佳準(zhǔn)則;(2)信號(hào)最佳檢測(cè)的實(shí)現(xiàn)[5]。</p><p>  3.1.2 編碼理論與技術(shù)</p><p>  信源中的起始符號(hào)根據(jù)一定的數(shù)學(xué)規(guī)律而發(fā)生的變換實(shí)際上就是編碼。</p><p>  信源編碼實(shí)質(zhì)上是對(duì)信源進(jìn)行信息處理,它可分為無(wú)失真編碼和有失真編碼。無(wú)失真編碼只對(duì)信源的冗余度進(jìn)行壓縮,而不會(huì)改變信源的熵,又

45、稱(chēng)冗余壓縮編碼,它能保證碼元序列經(jīng)譯碼后能無(wú)失真地恢復(fù)成信源符號(hào)序列。與之相對(duì)的是有失真信源編碼,又稱(chēng)熵壓縮編碼,是在允許的失真范圍內(nèi)把編碼后的信息率壓縮到最小。有失真信源編碼的失真范圍受限,所以又稱(chēng)為限失真信源編碼,編碼后的信息率得到壓縮,因此屬于熵壓縮編碼。</p><p>  信道編碼是在等待發(fā)送的信息碼中根據(jù)一定的規(guī)則穿插進(jìn)一些碼元,用來(lái)確保傳輸過(guò)程中的安全性。信道編碼的對(duì)象是信源編碼器最后輸出的數(shù)字序列

46、M,該信息序列一般是由獨(dú)立等概念的二元符號(hào)1和0構(gòu)成的數(shù)字序列。而信道編碼的任務(wù)則是用最小的多余度來(lái)交換最大抗干擾性能的碼元,也就是選擇性地將冗余加入到發(fā)送的數(shù)據(jù)里面,以備形成錯(cuò)誤的數(shù)據(jù)。檢錯(cuò)碼的作用是用來(lái)檢測(cè)錯(cuò)誤的信道碼,而糾錯(cuò)碼的作用則是用來(lái)檢測(cè)和校正錯(cuò)誤的信道碼的。而本文所介紹的光纖通信線(xiàn)路接口碼型HDB3碼則屬于信道編碼[6]。</p><p>  3.2 常用碼型以及其特點(diǎn)</p><

47、;p>  在實(shí)際的基帶傳輸系統(tǒng)中,不是所有的基帶波形都適合在信道中傳輸?shù)摹?duì)于傳輸用的基帶信號(hào)主要有以下兩個(gè)方面的要求:</p><p> ?。?)對(duì)代碼的要求:原始消息代碼必須編成適合于傳輸用的碼型;</p><p> ?。?)對(duì)所選碼型的的電波形要求:電波形應(yīng)適合于基帶系統(tǒng)的傳輸。</p><p>  傳輸碼(或稱(chēng)線(xiàn)路碼)的結(jié)構(gòu)將取決于實(shí)際信道特性和系統(tǒng)工

48、作的條件。在選擇傳輸碼型時(shí),應(yīng)需考慮以下原則:</p><p> ?。?)不含直流,且低頻分量盡量少;</p><p> ?。?)應(yīng)含有豐富的定時(shí)信息,以便于從接收碼流中提取定時(shí)信號(hào);</p><p>  (3)功率譜主瓣寬度窄,以節(jié)省傳輸頻帶;</p><p> ?。?)不受信息源統(tǒng)計(jì)特性的影響,即能適應(yīng)于信息源的變化;</p>

49、<p> ?。?)具有內(nèi)在的檢錯(cuò)能力,即碼型應(yīng)具有一定規(guī)律性,以便利用這一規(guī)律性進(jìn)行宏觀(guān)監(jiān)測(cè);</p><p>  (6)編譯碼簡(jiǎn)單,以降低通信延時(shí)和成本。</p><p>  滿(mǎn)足或部分滿(mǎn)足以上特性的傳輸碼型種類(lèi)有很多,下面將介紹目前常用的幾種。</p><p>  3.2.1 AMI碼</p><p>  AMI(Alter

50、native Mark Inversion)碼的全稱(chēng)是傳號(hào)交替反轉(zhuǎn)碼,其編碼規(guī)則是將消息碼的“1”(傳號(hào))交替地變換為“+1”和“-1”,而“0”(空號(hào))保持不變。例如:</p><p>  消息碼: 0 1 1 0 0 0 0 0 0 0 1 1 0 0 1 1…</p><p>  AMI碼: 0 -1 +1 0 0 0 0 0 0 0 -1 +1 0 0

51、-1 +1…AMI碼對(duì)應(yīng)的波形是具有正、負(fù)、零三種電平的脈沖序列。它可以看成是單極性波形的變形,即“0”仍對(duì)應(yīng)零電平,而“1”交替對(duì)應(yīng)正、負(fù)電平。</p><p>  AMI碼的優(yōu)點(diǎn)是,沒(méi)有直流成分,且高、低頻分量少,能量集中在頻率為1/2碼速處;編解碼電路簡(jiǎn)單,且可利用傳號(hào)極性交替這一規(guī)律觀(guān)察誤碼情況;如果它是AMI-RZ波形,接收后只要全波整流,就可變?yōu)閱螛O性RZ波形,從中可以提取位定時(shí)分量。鑒于上述優(yōu)點(diǎn)

52、,AMI碼成為較常用的傳輸碼型之一。</p><p>  AMI碼的缺點(diǎn)是,當(dāng)原信碼出現(xiàn)長(zhǎng)連“0”串時(shí),信號(hào)的電平長(zhǎng)時(shí)間不跳變,造成提取定時(shí)信號(hào)的困難。解決連“0”碼問(wèn)題的有效辦法之一是采用HDB3碼。</p><p>  3.2.2 HDB3碼</p><p>  HDB3(3 Order High Density Bipolar)碼的全稱(chēng)是三階高密度雙極性碼。它

53、是AMI碼的一種改進(jìn)型,改進(jìn)目的是為了保持AMI碼的優(yōu)點(diǎn)而克服其缺點(diǎn),使連“0”個(gè)數(shù)不超過(guò)3個(gè)。其編碼規(guī)則是:</p><p> ?。?)檢查消息碼中“0”的個(gè)數(shù)。當(dāng)連“0”數(shù)目小于等于3時(shí),HDB3碼與AMI碼一樣,+1與-1交替;</p><p> ?。?)當(dāng)連“0”數(shù)目超過(guò)3時(shí),將每4個(gè)連“0”化作一小節(jié),定義為B00V,稱(chēng)為破壞節(jié),其中V稱(chēng)為破壞脈沖,而B(niǎo)稱(chēng)為調(diào)節(jié)脈沖;</p

54、><p> ?。?)V與前一個(gè)相鄰的非“0”脈沖的極性相同(這破壞了極性交替的規(guī)則,所以V成為破壞脈沖),并且要求相鄰的V碼之間極性必須交替。V的取值為+1或-1;</p><p> ?。?)B的取值可選0、+1或-1,以使V同時(shí)滿(mǎn)足(3)中的兩個(gè)要求;</p><p> ?。?)V碼后面的傳號(hào)碼極性也要交替。例如:</p><p>  消息碼:

55、 1 0 0 0 0 1 0 0 0 0 1 1 0 0 0 0 0 0 0 0 -1 +1</p><p>  AMI碼: -1 0 0 0 0 +1 0 0 0 0 -1 +1 0 0 0 0 0 0 0 0 -1 +1</p><p>  HDB3碼:-1 0 0 0 –V +1 0 0 0 +V -1 +1 –B 0 0 –V +B 0 0

56、 +V -1 +1 </p><p>  其中的±V脈沖和±B脈沖與±1脈沖波形相同,用V或B符號(hào)表示的目的是為了示意該非“0”碼是由原信碼的“0”變換而來(lái)的。</p><p>  HDB3碼除了具有AMI碼的優(yōu)點(diǎn)外,同時(shí)還將連“0”碼限制在三個(gè)以?xún)?nèi),使得接收時(shí)能夠保證定時(shí)信息的提取。因此,HDB3碼是目前最為廣泛的碼型。A律PCM四次群以下的接口碼型均為HD

57、B3碼。</p><p><b>  3.2.3 雙相碼</b></p><p>  雙相碼又稱(chēng)曼徹斯特(Manchester)碼。它是用一個(gè)周期的正負(fù)對(duì)稱(chēng)方波表示“0”,而用其反相波形表示“1”。編碼規(guī)則之一是:“0”碼用“01”兩位碼表示,“1”碼用“10”兩位碼表示,例如:</p><p>  消息碼: 1 1 0 0 1

58、 0 1</p><p>  雙相碼: 10 10 01 01 10 01 10</p><p>  雙相碼波形是一種雙極性NRZ波形,只有極性相反的兩個(gè)電平。它在每個(gè)碼元間隔的中心點(diǎn)都存在電平跳變,所以含有豐富的位定時(shí)信息,而且沒(méi)有直流分量,編碼過(guò)程也很簡(jiǎn)單。缺點(diǎn)是占用帶寬加倍,使頻帶利用率降低。</p><p>  雙相碼適用于數(shù)據(jù)終端設(shè)備近

59、距離上傳輸,局域網(wǎng)常采用該碼作為傳輸碼型。</p><p>  3.2.4 差分雙相碼</p><p>  采用差分碼的概念,是為了解決雙相碼因極性反轉(zhuǎn)而引起的譯碼錯(cuò)誤。雙相碼是利用每個(gè)碼元持續(xù)時(shí)間中間的電平跳變進(jìn)行同步和信碼表示(由負(fù)到正的跳變表示二進(jìn)制“0”,由正到負(fù)的跳變表示二進(jìn)制“1”)。而在差分雙相碼編碼中,每個(gè)碼元中間的電平跳變用于同步,而每個(gè)碼元的開(kāi)始處是否存在額外的跳變用來(lái)

60、確定信碼。有跳變則表示二進(jìn)制“1”,無(wú)跳變則表示二進(jìn)制“0”。該碼在局域網(wǎng)中常被采用。</p><p><b>  3.2.5 密勒碼</b></p><p>  密勒(Miller)碼又稱(chēng)延遲調(diào)制碼,它是雙相碼的一種變形。它的編碼規(guī)則如下:“1”碼用碼元中心點(diǎn)出現(xiàn)躍變來(lái)表示,即用“10”或“01”表示?!?”碼有兩種情況:?jiǎn)蝹€(gè)“0”時(shí),在碼元持續(xù)時(shí)間內(nèi)不出現(xiàn)電平躍變

61、,且與相鄰碼元的邊界處也不躍變,連“0”時(shí),在兩個(gè)“0”碼的邊界處出現(xiàn)電平躍變,即“00”與“11”交替。</p><p>  密勒碼可用來(lái)進(jìn)行宏觀(guān)檢錯(cuò),最初用于氣象衛(wèi)星和磁記錄,現(xiàn)在也用于低速基帶數(shù)傳機(jī)中。</p><p>  3.2.6 CMI碼</p><p>  CMI(Coded Mark Inversion)碼是傳號(hào)反轉(zhuǎn)碼的簡(jiǎn)稱(chēng),與雙相碼類(lèi)似,它也是一種

62、雙極性二電平碼。其編碼規(guī)則是:“1”碼交替用“11”和“00”兩位碼表示;“0”碼固定地用“01”表示。 </p><p>  CMI碼易于實(shí)現(xiàn),含有豐富的定時(shí)信息。此外,由于10為禁用碼組,不會(huì)出現(xiàn)三個(gè)以上的連碼,這個(gè)規(guī)律可用來(lái)宏觀(guān)檢錯(cuò)。該碼已被ITU-T推薦為PCM四次群的接口碼型,有時(shí)也用在速率低于8.448Mb/s的光纜傳輸系統(tǒng)中。</p><p><b>  3.2.7

63、 塊編碼</b></p><p>  為了提高線(xiàn)路編碼性能,需要某種冗余來(lái)確保碼型的同步和檢錯(cuò)能力。引入塊編碼可以在某種程度上達(dá)到這兩個(gè)目的。塊編碼的形式有nBmB碼,nBmT碼等。</p><p>  在光纖通信系統(tǒng)中,常選擇m=n+1,取1B2B碼、2B3B碼、3B4B碼及5B6B碼等。其中,5B6B碼型已實(shí)用化,用作三次群和四次群以上的線(xiàn)路傳輸碼型。</p>

64、<p>  nBmB碼提供了良好的同步和檢錯(cuò)功能,但是也會(huì)為此付出一定的代價(jià),即所需的帶寬隨之增加。碼地設(shè)計(jì)思想是將n個(gè)二進(jìn)制碼變換成m個(gè)三進(jìn)制碼的新碼組,而m<n。例如,4B3T碼,它把4個(gè)二進(jìn)制碼變換成3個(gè)三進(jìn)制碼。顯然,在相同的碼速率下,4B3T碼的信息容量大于1B1T,因而可提高頻帶利用率。4B3T碼、8B6T碼等適用于較高速率的數(shù)據(jù)傳輸系統(tǒng),如高次群同軸電纜傳輸系統(tǒng)[7]。</p><p&

65、gt;  3.3 光纖通信線(xiàn)路接口碼型</p><p>  線(xiàn)路碼型實(shí)質(zhì)上就是信道碼的碼型,即把二進(jìn)制的數(shù)字碼轉(zhuǎn)換成適合在特定傳輸媒介的碼字,所以當(dāng)傳輸媒介不同時(shí),相應(yīng)的線(xiàn)路碼型也不一樣。就光纖數(shù)字傳輸系統(tǒng)來(lái)說(shuō),線(xiàn)路要考慮光纖的特性,另外還需要考慮光源器件和光檢測(cè)器件的特性。在選擇光纖傳輸線(xiàn)路碼型時(shí)的要求有定時(shí)信息的量必須要滿(mǎn)足,誤碼的倍增要小,功率譜密度中的高頻分量和低頻分量要低,比特序列需要獨(dú)立,實(shí)現(xiàn)起來(lái)要比

66、較的簡(jiǎn)便等等[2]。</p><p>  在數(shù)字光纖通信系統(tǒng)中所傳輸?shù)男盘?hào)是數(shù)字信號(hào),而由交換機(jī)送來(lái)的電信號(hào)符合ITU-U所規(guī)定的脈沖編碼調(diào)制(PCM)通信系統(tǒng)中的接口碼率和碼型,如表3.1所示。</p><p>  表3.1 接口碼速率與接口碼型</p><p>  表中HDB3為三階高密度雙極性碼,該碼型的特點(diǎn)是具有雙極性,即具有+1,-1和0三種電平。這種雙極

67、性碼由于采用了一定的措施,使碼流中的+1和-1交錯(cuò)出現(xiàn),因而沒(méi)有直流分量。于是在PCM端機(jī)、PCM系統(tǒng)的中繼器與光纜線(xiàn)路連接中,可以使用變量器,從而實(shí)現(xiàn)遠(yuǎn)端供電。同時(shí),這種碼型又可利用其正、負(fù)極性交替出現(xiàn)的規(guī)律進(jìn)行自動(dòng)誤碼監(jiān)測(cè)等。</p><p>  CMI碼為信號(hào)反轉(zhuǎn)碼,是一種二電平不歸零碼,即將原來(lái)的二進(jìn)制碼的“0”編為“01”,將原來(lái)二進(jìn)制的“1”碼編為00或11。若前一次用00,則后一次用11,即00和1

68、1是交替出現(xiàn)的,從而使“0”,“1”在碼流中是平衡的,并且它部出現(xiàn)10,因此10可作為禁字使用。</p><p>  以上介紹的是PCM系統(tǒng)與光纖通信系統(tǒng)接口的兩種碼型HDB3碼和CMI碼。然而PCM系統(tǒng)中的這些碼型并不都適合在光纖數(shù)字通信系統(tǒng)中傳輸,例如HDB3碼有+1,-1和0三種狀態(tài),而在光纖通信系統(tǒng)中是用發(fā)光和不發(fā)光來(lái)表示“1”和“0”兩種狀態(tài),因此在光通信系統(tǒng)中是無(wú)法傳輸HDB3碼的。為此在光端機(jī)中必須

69、進(jìn)行碼型變換,將雙極性碼變?yōu)閱螛O性碼。但在進(jìn)行碼型變換之后,將失去原HDB3碼所具有的誤碼監(jiān)測(cè)等的功能[3]。</p><p>  3.4 HDB3碼的優(yōu)勢(shì)</p><p>  HDB3碼是是數(shù)字通信系統(tǒng)中重要組成部分之一,既要包含AMI的交替特性使輸出無(wú)直流特性,又要不出現(xiàn)四個(gè)以上的連“0”串。HDB3碼的特點(diǎn)可以概括為以下幾點(diǎn):</p><p> ?。?)HDB

70、3碼的功率譜中沒(méi)有直流成分,高頻分量和低頻分量比較少,而且定時(shí)信息很充足,以至于定時(shí)提取很方便;</p><p> ?。?)HDB3碼是偽三進(jìn)制碼,并且可以用B+、B-和0來(lái)代表它的狀態(tài)。</p><p> ?。?)HDB3碼的長(zhǎng)連“0”串中0的數(shù)目小于等于3;</p><p> ?。?)HDB3碼中任何相鄰的破壞點(diǎn)“V”脈沖之間的“B”脈沖的數(shù)目為奇數(shù);</

71、p><p>  (5)HDB3碼能夠通過(guò)它的破壞點(diǎn)規(guī)律來(lái)檢測(cè)線(xiàn)路傳輸中造成的誤碼;</p><p>  (6)HDB3碼的編碼規(guī)則比較復(fù)雜,但是譯碼卻相應(yīng)地比較簡(jiǎn)單。</p><p>  第4章 解擾碼的設(shè)計(jì)</p><p>  4.1 解擾碼的原理</p><p>  數(shù)字通信中,若輸入的長(zhǎng)連“0”或者長(zhǎng)連“1”的數(shù)目過(guò)

72、多,就會(huì)導(dǎo)致接收端位定時(shí)信息的恢復(fù)受到影響,最后就會(huì)影響抽樣判決時(shí)刻和系統(tǒng)的誤碼率。在傳輸編碼中,利用冗余也能消除數(shù)據(jù)源中的信息模式對(duì)于系統(tǒng)性能的影響,不過(guò)傳輸符號(hào)的速率將會(huì)增加。我們常把數(shù)據(jù)源通過(guò)擾碼器來(lái)轉(zhuǎn)換成數(shù)據(jù)序列,使得信息模式對(duì)于系統(tǒng)誤碼的影響得意消除。</p><p>  擾碼器實(shí)質(zhì)上就是一種偽隨機(jī)序列信號(hào)發(fā)生器,所產(chǎn)生的信號(hào)序列能使“0”與“1”分布均勻,這也是擾碼器可以在數(shù)字光纖通信傳輸線(xiàn)路碼型中很

73、好工作的原因。擾碼器是通過(guò)m序列來(lái)實(shí)現(xiàn)的,在發(fā)端利用移位寄存器來(lái)生成m序列,接著把信息序列和m序列進(jìn)行模二加,那么就會(huì)輸出加擾的隨機(jī)序列。但是目前來(lái)說(shuō),擾碼仍然不能完全地控制長(zhǎng)連“0”和長(zhǎng)連“1”的序列,而且當(dāng)冗余未被引入時(shí),在線(xiàn)誤碼監(jiān)測(cè)就不能進(jìn)行。而解擾碼則是在接收機(jī)端把相同的擾碼序列和收到的被擾信息進(jìn)行模二加,進(jìn)而恢復(fù)原始信息[4]。</p><p>  4.2 基于圖形法的解擾碼的設(shè)計(jì)</p>

74、<p>  4.2.1 擾碼的設(shè)計(jì)</p><p>  用圖形設(shè)計(jì)解擾碼的步驟如下:</p><p> ?。?)打開(kāi)MAX+plusⅡ;</p><p> ?。?)新建一個(gè)圖形文件:File→New,在彈出的窗口中選擇“Graphic Eidor file”,點(diǎn)OK;</p><p> ?。?)輸入擾碼電路,如圖4.1;</

75、p><p><b>  圖4.1 擾碼電路</b></p><p>  (4)保存文件:Automatic Extension選“.gdf”,并把文件設(shè)為當(dāng)前文件:File→Set Project to Current File,MAX+plusⅡ的標(biāo)題條將顯示新的項(xiàng)目名字;</p><p> ?。?)檢查編譯,先指定下載的芯片型號(hào):Assign→

76、Device,在彈出的窗口中,Device Family選擇MAX3000A,Device選擇EPM3255ATC144-10,再指定芯片的管腳:Assign→Pin/Location/Chip,out>chip=zuozuo;Output Pin=5,out1>chip=zuozuo;Output Pin=39, res>chip=zuozuo;Input Pin=127;</p><p> 

77、?。?)編譯文件:File→Project→save&Compile,MAX+plusⅡ編譯器將檢查項(xiàng)目是否出錯(cuò),并對(duì)項(xiàng)目進(jìn)行邏輯綜合,然后配置到一個(gè)Altera器件中,同時(shí)將產(chǎn)生報(bào)告文件和用于仿真用的輸出文件,如設(shè)計(jì)正確,點(diǎn)擊確定。編譯后,點(diǎn)擊MAX+plusⅡ中的Froorplan Editor可看見(jiàn)IO在芯片上的分布;</p><p> ?。?)波形仿真,打開(kāi)波形編輯器:MAX+plusⅡ→Wave

78、form Editor,輸入端口:Node→Enter Nodes from SNF,在彈出的窗口中,點(diǎn)擊list,將出現(xiàn)端口列表,點(diǎn)擊“=>”將信號(hào)加入SNF文件中,點(diǎn)OK。工具欄中Options中Snap to Grid是用于鼠標(biāo)按網(wǎng)格選取,用鼠標(biāo)左鍵可以決定是否選取,Show Grid是用于顯示網(wǎng)格,Gride Size用于設(shè)置網(wǎng)格大小,在設(shè)計(jì)中經(jīng)常要改變網(wǎng)格大小,便于選擇。File中的End Time用于設(shè)置結(jié)束時(shí)間。&l

79、t;/p><p>  本設(shè)計(jì)中,End Time設(shè)為200us,Gride Size設(shè)為200ns。res為高有效信號(hào),置為高電平,bs設(shè)為時(shí)鐘信號(hào),周期為40ns,nrz設(shè)置為長(zhǎng)連“0”和長(zhǎng)連“1”。最后點(diǎn)擊File→Project→save&simulate,在彈出的保存窗口中,將文件保存為raoma1.scf,點(diǎn)擊OK,將會(huì)仿真,在彈出的窗口中點(diǎn)擊確認(rèn),再點(diǎn)擊open SCF,將會(huì)出現(xiàn)仿真后的波形,如圖

80、4.2。</p><p>  4.2 擾碼電路的仿真波形</p><p>  4.2.2 解擾碼的設(shè)計(jì)</p><p>  解擾碼電路如圖4.3,電路中的輸入信號(hào)為擾碼電路中的輸出信號(hào)out,經(jīng)過(guò)解擾碼電路,則最后輸出的信號(hào)將與擾碼電路中輸入的nrz信號(hào)相同。由解擾碼電路的仿真波形圖4.4,可以得到nrz和out1的波形是一致的,而out1的波形在前60多ns存在延

81、時(shí)。</p><p>  圖4.3 解擾碼電路</p><p>  圖4.4 解擾碼電路的仿真波形</p><p>  第5章HDB3編譯碼器的設(shè)計(jì) </p><p>  5.1 HDB3編碼器的設(shè)計(jì)</p><p>  5.1.1 HDB3編碼器原理</p><p>  HDB3碼是在A(yíng)M

82、I碼基礎(chǔ)上改進(jìn)的一種半占空比的歸零碼,主要抑制了長(zhǎng)串連“1”和連“0”現(xiàn)象,具有無(wú)直流分量、抗干擾能力強(qiáng)、有利于時(shí)鐘提取等優(yōu)點(diǎn),廣泛應(yīng)用于長(zhǎng)距離數(shù)字通信的PCM系統(tǒng)中。</p><p>  HDB3碼的編碼原理如下:首先把消息代碼變換成 AMI碼,消息碼中的“0”碼仍為“0”,消息碼中的“1”碼交替地變換為傳輸碼中的+1和 -1。其次,檢查AMI碼的連“0”串的情況,當(dāng)連“0”串的數(shù)目小于等于3時(shí),則該 AMI碼

83、就是HDB3碼。然后當(dāng)出現(xiàn)大于等于4個(gè)連“0”串時(shí),則將每4個(gè)連“0”的第4個(gè)“0”碼的極性和前一非“0”符號(hào)相同,用破壞符號(hào)“V”表示。最后加入破壞符號(hào)后,為了序列中的 “極性交替反轉(zhuǎn)”造成的無(wú)直流特性不被破壞掉,還需要保證相鄰“V”符號(hào)之間有奇數(shù)個(gè)非“0”符號(hào)。而當(dāng)有偶數(shù)個(gè)非“0”符號(hào)時(shí),將該連“0”串的第1個(gè)“0”碼變成符號(hào)“B”,“B”符號(hào)的極性和前一非“0”符號(hào)相反,后面的非“0”符號(hào)依次交替變化[11]。</p>

84、<p>  5.1.2 基于VHDL的HDB3編碼器的設(shè)計(jì)</p><p>  通過(guò)對(duì)HDB3編譯碼原理的分析,我們可以通過(guò)VHDL語(yǔ)言在Max+PlusII軟件環(huán)境中進(jìn)行仿真,從而實(shí)現(xiàn)HDB3編譯碼器的設(shè)計(jì)。首先,輸入二進(jìn)制代碼,然后進(jìn)行插V建模,插B建模,最后進(jìn)行單/雙極性變換,從而完成HDB3碼的輸出。本設(shè)計(jì)相較于HDB3的編碼即先將消息代碼轉(zhuǎn)變成AMI碼,然后進(jìn)行插V、B的操作,顯得比較簡(jiǎn)單

85、,而且通過(guò)VHDL語(yǔ)言比較容易實(shí)現(xiàn)。</p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  entity onev is</p><p>  port(clk,clr,codein:in std_logic;</p><p> 

86、 codeoutv:out std_logic_vector(1 downto 0 ));</p><p><b>  end onev;</b></p><p>  architecture one of onev is</p><p>  signal count0:integer:=0;</p><p><b

87、>  begin</b></p><p>  process(clk,clr)</p><p><b>  begin</b></p><p>  if (clk'event and clk='1') then --判斷是否達(dá)到了時(shí)鐘信號(hào)的上升沿</p><p>  if (

88、clr='1') then codeoutv<="00";count0<=0; --清零位置1無(wú)效</p><p><b>  else</b></p><p>  case codein is</p><p>  when '1' => codeoutv<=&quo

89、t;01";count0<=0; --將信息碼中的“1”碼賦值為“01”碼</p><p>  when '0' =></p><p>  if (count0=3) then codeoutv<="11";count0<=0; --4連“0”的最后個(gè)“0”碼賦值為“11” </p><p>

90、  else count0<=count0+1;codeoutv<="00"; --前3個(gè)“0”賦值為“00”</p><p><b>  end if;</b></p><p>  when others => codeoutv<="00";count0<=count0;</p

91、><p><b>  end case;</b></p><p>  end if; </p><p><b>  end if;</b></p><p>  end process;</p><p><b>  end one;</b&

92、gt;</p><p>  本段程序?yàn)椴錠模塊,設(shè)該程序的文件名為onev.vhd。插V模塊的功能其實(shí)是對(duì)消息代碼中的4個(gè)連“0”串的檢測(cè),即當(dāng)出現(xiàn)4個(gè)連“0”串時(shí),把第4個(gè)“0”碼變換成符號(hào)“V”,而在其他情況下,就保持消息代碼的原樣輸出,本程序中,在進(jìn)行插V時(shí),信息碼中的4個(gè)連“0”碼中的前3個(gè)“0”碼賦值為“00”,最后一個(gè)“0”碼賦值為“11”,而信息碼中的“1”碼則賦值為“01”碼。本程序設(shè)計(jì)了一個(gè)計(jì)數(shù)

93、器Count(),作為插V符號(hào)的標(biāo)志,而在進(jìn)程process中,通過(guò)條件控制開(kāi)關(guān)(case語(yǔ)句)完成了插“V”功能。將程序輸入MAX+plusⅡ進(jìn)行波形仿真,輸入端口clr為清零碼,設(shè)置為0,clk為時(shí)鐘碼,周期設(shè)為40ns,codein為輸入碼,設(shè)置為100001100000000110010000000011,則輸出端口codeoutv應(yīng)輸出0100000011010100000011000000110101000001000000

94、110000001</p><p>  10101。經(jīng)MAX+plusⅡ仿真驗(yàn)證后的波形如圖5.1。</p><p>  圖5.1 onev.vhd仿真波形</p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  entity t

95、wob is</p><p>  port(clk,clr:in std_logic;</p><p>  codeoutv:in std_logic_vector(1 downto 0);</p><p>  codeoutb:out std_logic_vector(1 downto 0));</p><p><b>  end

96、 twob;</b></p><p>  architecture two of twob is </p><p>  signal s1:std_logic_vector(3 downto 0) :="0000";</p><p>  signal s0:std_logic_vector(3 downto 0) :=&qu

97、ot;0000";</p><p>  signal codeinb:std_logic_vector(1 downto 0) :="00";</p><p>  signal count1:integer range 1 downto 0;</p><p>  signal firstv:integer range 0 to 1;

98、</p><p>  signal clkb:std_logic;</p><p><b>  begin</b></p><p>  codeinb<= codeoutv; --將插V程序的輸出信號(hào)作為插“B”程序的輸入信號(hào)</p><p>  clkb<=not clk;</p>&

99、lt;p>  add_b:process (clkb)</p><p><b>  begin</b></p><p>  if (rising_edge (clkb)) then</p><p>  s1(3 downto 1)<=s1(2 downto 0); </p><p>  s0(3

100、 downto 1)<=s0(2 downto 0);</p><p>  if(codeinb="11") then </p><p>  if(firstv=0) </p><p>  then count1<=0; </p><p>  firstv<=1;</p><p>

101、  s0(0) <=codeinb(0);s1(0) <=codeinb(1);</p><p>  else if ((firstv=1) and (count1=0)) </p><p>  then s1(3)<='1';s0(3)<='0'; --插入符號(hào)“B”,用符號(hào)“10”代替符號(hào)“B”</p><p

102、>  s0(0) <=codeinb(0);s1(0) <=codeinb(1);</p><p>  count1<=0;</p><p>  else if ((firstv=1) and (count1=1)) --判斷是否發(fā)現(xiàn)“V”及相鄰兩個(gè)“V”之間1碼的個(gè)數(shù)</p><p>  then s0(0) <=codeinb(0

103、);s1(0) <=codeinb(1);</p><p>  count1<=0;</p><p><b>  end if;</b></p><p><b>  end if;</b></p><p><b>  end if;</b></p>&

104、lt;p>  elsif (codeinb="01") then </p><p>  count1 <=count1+1; --計(jì)算“1”碼的個(gè)數(shù)</p><p>  s0 (0) <=codeinb(0);s1 (0) <=codeinb(1);</p><p>  elsif (codeinb="

105、;00")then</p><p>  s0 (0) <=codeinb(0);s1 (0) <=codeinb(1);</p><p>  count1<=count1; </p><p><b>  end if;</b></p><p><b>  end if;<

106、;/b></p><p>  end process add_b;</p><p>  codeoutb(1)<=s1 (3); </p><p>  codeoutb(0)<=s0 (3);</p><p>  end architecture two;</p><p>  本段程序?yàn)椴錌模塊,設(shè)該

107、程序的文件名為twob.vhd。插B模塊的功能就是使得“極性交替反轉(zhuǎn)”導(dǎo)致的無(wú)直流特性不被插入“V”符號(hào)的序列破壞,就是當(dāng)偶數(shù)個(gè)非“0”符號(hào)出現(xiàn)在相鄰“V”符號(hào)中間的時(shí)候,我們就把后面連“0”串的第一個(gè)“0”碼轉(zhuǎn)換成非破壞“B”符號(hào),這里用符號(hào)“10”來(lái)標(biāo)識(shí)。將本程序輸入MAX+plusⅡ進(jìn)行波形仿真,輸入端口clk為時(shí)鐘碼,周期設(shè)置為40ns,codeoutv為onev.vhd的輸出端口為01000000110101000000110

108、0000011010100000100000011000000110101,則輸出端口codeoutb應(yīng)輸出00000000010000001101011000001110000011010100000100000011100000110101。.經(jīng)MAX+plusⅡ仿真驗(yàn)證后的波形如圖5.2(a)、圖5.2(b)和圖5.2(c),圖5.2(a)、圖5.2(b)和圖5.2(c)為一個(gè)波形仿真,圖5.2(a)為0~560ns時(shí)段的波形仿真

109、,圖5.2(a)為560ns~920ns時(shí)段的波形仿真,圖5.2(a)為920ns~1.36us</p><p>  圖5.2(a) twob..vhd的波形仿真(0~560ns)</p><p>  圖5.2(b) twob.vhd的波形仿真(560ns~920ns)</p><p>  圖5.2(c) twob.vhd的波形仿真(920ns~1.36us)<

110、;/p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  entity threep is</p><p>  port(codeoutb:in std_logic_vector(1 downto 0);</p><p>  clk:i

111、n std_logic;</p><p>  codeout:out std_logic_vector(1 downto 0));</p><p>  end entity threep ;</p><p>  architecture three of threep is</p><p>  signal flaglb:integer ra

112、nge 1 downto 0;</p><p><b>  begin</b></p><p>  process (clk)</p><p><b>  begin</b></p><p>  if (rising_edge(clk)) then</p><p>  if(

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論