2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩40頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、<p><b>  畢業(yè)設(shè)計(jì)說明書</b></p><p>  簡易GPS定位信息顯示系統(tǒng)的設(shè)計(jì)</p><p><b>  二〇一一年六月</b></p><p> 畢業(yè)生姓名:</p><p> 專業(yè):</p><p> 學(xué)號(hào):</p><p

2、> 指導(dǎo)教師:</p><p> 所屬系(部):</p><p><b>  畢業(yè)設(shè)計(jì)評(píng)閱書</b></p><p><b>  題目:</b></p><p>  簡易GPS定位信息顯示系統(tǒng)的設(shè)計(jì)                        </p><p

3、>  系   專業(yè) 姓名    </p><p>  設(shè)計(jì)時(shí)間: </p><p><b>  評(píng)閱意見:</b></p><p><b>  成績:</b></p><

4、p>  指導(dǎo)教師:    ?。ê炞郑?lt;/p><p>  職  務(wù):     </p><p>  200 年 月 日</p><p><b>  畢業(yè)設(shè)計(jì)答辯記錄卡</b></p><p>  系   專業(yè) 姓名     </p><p>  答 辯 內(nèi) 容</

5、p><p>  記錄員: (簽名)</p><p>  成 績 評(píng) 定</p><p>  注:評(píng)定成績?yōu)?00分制,指導(dǎo)教師為30%,答辯組為70%。</p><p>  專業(yè)答辯組組長:     (簽名)</p><p>  200 年  月  </p><p>

6、<b>  前言</b></p><p>  GPS作為最先進(jìn)的空間定位技術(shù),在社會(huì)建設(shè)中發(fā)揮了重要的作用。隨著GPS定位技術(shù)的快速發(fā)展,其功能越來越強(qiáng),精度越來越高,在測量領(lǐng)域的應(yīng)用日益廣泛。</p><p>  本文討論了簡易GPS定位信息顯示系統(tǒng)的設(shè)計(jì), 提出了對(duì)GPS全球定位系統(tǒng)定位信息的接收以及對(duì)各定位參數(shù)數(shù)據(jù)的提取方法。在硬件方面,采用了GPS25-LVS

7、作為衛(wèi)星信息接收器;控制器選用AT89C52單片機(jī),以串口方式1接收GPS信息;設(shè)計(jì)了由7段共陽LED組成的顯示器。在軟件方面,進(jìn)行了單片機(jī)的信息接收處理,對(duì)內(nèi)存中的信息存放地址進(jìn)行了分配,并編制控制程序。最后對(duì)硬件和軟件進(jìn)行了綜合調(diào)試。實(shí)現(xiàn)了LED顯示器輪流顯示實(shí)時(shí)時(shí)間、緯度、經(jīng)度。</p><p>  該系統(tǒng)的時(shí)間為原子鐘時(shí)間,因此非常精確。能滿足一般應(yīng)用項(xiàng)目的使用。</p><p>

8、<b>  目錄</b></p><p><b>  第一篇 緒論1</b></p><p>  第一章 GPS概述1</p><p>  第二章本設(shè)計(jì)的目的和意義2</p><p>  第三章 本設(shè)計(jì)研究的內(nèi)容和所做的工作4</p><p>  第一節(jié) 本

9、設(shè)計(jì)研究的內(nèi)容4</p><p>  第二節(jié)本設(shè)計(jì)所做的工作4</p><p>  第二篇 元件選擇5</p><p>  第三篇 方案論證9</p><p>  第四篇 系統(tǒng)硬件電路設(shè)計(jì)11</p><p>  第五篇 硬件電路制作15</p><p>  第六篇 控制

10、系統(tǒng)的軟件設(shè)計(jì)16</p><p>  第一章 GPS25-LVS的信息輸出格式16</p><p>  第二章單片機(jī)的信息接收處理17</p><p>  第三章 內(nèi)存中的信息存放地址分配18</p><p>  第七篇 控制程序編制和調(diào)試20</p><p>  第八篇 硬件和軟件綜合調(diào)試及性能

11、分析28</p><p>  第一章 硬件和軟件綜合調(diào)試28</p><p>  第二章性能分析30</p><p><b>  結(jié)論32</b></p><p><b>  參考文獻(xiàn)33</b></p><p><b>  致謝34</b&g

12、t;</p><p><b>  第一篇 緒論</b></p><p>  第一章 GPS概述</p><p>  GPS作為最先進(jìn)的空間定位技術(shù),在社會(huì)建設(shè)中發(fā)揮了重要的作用。隨著GPS定位技術(shù)的快速發(fā)展,其功能越來越強(qiáng),精度越來越高,在測量領(lǐng)域的應(yīng)用日益廣泛。</p><p>  GPS系統(tǒng)的基本定位原理是:每顆

13、GPS衛(wèi)星時(shí)刻發(fā)布其位置和時(shí)間數(shù)據(jù)信號(hào),用戶接收機(jī)可以測量每顆衛(wèi)星信號(hào)到接收機(jī)的時(shí)間延遲,根據(jù)信號(hào)傳輸?shù)乃俣瓤梢杂?jì)算出接收機(jī)到不同衛(wèi)星的距離。同時(shí)收集至少4顆衛(wèi)星的數(shù)據(jù)時(shí),就可以算出三維坐標(biāo)、速度和時(shí)間。</p><p>  全球定位系統(tǒng)(Global Positioning System 簡稱GPS)是美國第二代軍用導(dǎo)航系統(tǒng),可實(shí)現(xiàn)全球范圍內(nèi)的實(shí)時(shí)導(dǎo)航和定位。GPS由空間部分、地面監(jiān)控部分、用戶設(shè)備部分組成。&

14、lt;/p><p>  GPS系統(tǒng)的空間部分是指GPS工作衛(wèi)星星座,其由24顆衛(wèi)星組成,其中21顆工作衛(wèi)星,3顆備用衛(wèi)星,均勻分布在6個(gè)軌道上。衛(wèi)星軌道平面與地球赤道面傾角為55°,各個(gè)軌道平面的升交點(diǎn)赤經(jīng)相差60°,軌道平均高度為20200km.衛(wèi)星運(yùn)行周期為11小時(shí)58分(恒星時(shí)),同一軌道上的各衛(wèi)星的升交角距為90°,GPS衛(wèi)星的上述時(shí)空配置,基本保證了地球上任何地點(diǎn),在任何時(shí)刻均

15、至少可以同時(shí)觀測到4顆衛(wèi)星,以滿足地面用戶實(shí)時(shí)全天候精密導(dǎo)航和定位。GPS衛(wèi)星的主體呈圓柱形,直徑約為1.5m,重約774kg,兩側(cè)各安裝兩塊雙葉太陽能電池板,能自動(dòng)對(duì)日定向,以保證衛(wèi)星正常工作用電。每顆衛(wèi)星帶有四臺(tái)高精度原子鐘,其中2臺(tái)為銣鐘,2臺(tái)為銫鐘。GPS衛(wèi)星上設(shè)有微處理機(jī),可以進(jìn)行必要的數(shù)據(jù)處理工作,它主要的3個(gè)基本功能:根據(jù)地面監(jiān)控指令接收和儲(chǔ)存由地面監(jiān)控站發(fā)來的導(dǎo)航信息,調(diào)整衛(wèi)星姿態(tài)、啟動(dòng)備用衛(wèi)星;向GPS用戶播送導(dǎo)航電文

16、,提供導(dǎo)航和定位信息;通過高精度衛(wèi)星鐘向用戶提供精密的時(shí)間標(biāo)準(zhǔn)。</p><p>  地面監(jiān)控部分由5個(gè)地面站組成。1個(gè)主控站,其位于美國本土科羅拉多斯平土(Colorado Spings)的聯(lián)合空間執(zhí)行中心CSOC,3個(gè)注入站,其分別設(shè)在印度洋的迭哥加西、南大西洋的阿松森島和南太平洋的卡瓦加蘭。5個(gè)監(jiān)控站,其中4個(gè)與主控站、注入站重疊,另外一個(gè)設(shè)在夏威夷。主控站的主要任務(wù)為:根據(jù)各監(jiān)控站提供的觀測資料推算編制各

17、顆衛(wèi)星的星歷、衛(wèi)星鐘差、和大氣層修正參數(shù)并把這些數(shù)據(jù)傳送到注入站;提供GPS系統(tǒng)的時(shí)間標(biāo)準(zhǔn);調(diào)整偏離軌道的衛(wèi)星,使之沿預(yù)定的軌道運(yùn)行;啟用備用衛(wèi)星以取代失效的工作衛(wèi)星。注入站的主要任務(wù)為:在主控站的控制下,把主控站傳來的各種數(shù)據(jù)和指令等正確并適時(shí)地注入到相應(yīng)衛(wèi)星的存儲(chǔ)系統(tǒng)。監(jiān)測站的主要任務(wù)為:給主控站編算導(dǎo)航電文提供觀測數(shù)據(jù),每個(gè)監(jiān)控站均用GPS信號(hào)接收機(jī),對(duì)每顆可見衛(wèi)星每6秒鐘進(jìn)行一次偽距測量和積分多普勒觀測,并采集氣象要素等數(shù)據(jù)。

18、 </p><p>  用戶設(shè)備部分由GPS接收機(jī)硬件和相應(yīng)的數(shù)據(jù)處理軟件以及微處理機(jī)及其終端設(shè)備組成。其主要功能是接收GPS衛(wèi)星發(fā)射的信號(hào),獲得必要的導(dǎo)航和定位信息及觀測量,并經(jīng)簡單數(shù)據(jù)處理實(shí)現(xiàn)實(shí)時(shí)導(dǎo)航和定位,用后處理軟件包對(duì)觀測數(shù)據(jù)進(jìn)行精加工,以獲取精密定位結(jié)果。 </p><p><b>  本設(shè)計(jì)的目的和意義</b></p><p&g

19、t;  自從五七年第一顆人造衛(wèi)星上天,六十年代的人造衛(wèi)星導(dǎo)航定位技術(shù),七十年代美國軍方開始發(fā)展GPS(Global Positioning System)衛(wèi)星導(dǎo)航定位系統(tǒng),直至1995年4月27日美國國防部宣布“GPS系統(tǒng)已具備全部運(yùn)作能力”。GPS計(jì)劃的實(shí)現(xiàn)歷時(shí)23年,耗資200多億美元,前后共發(fā)射35顆衛(wèi)星,目前仍在軌道上正常工作的有25顆衛(wèi)星,其中1顆為實(shí)驗(yàn)衛(wèi)星,24顆為工作衛(wèi)星。它具有海、陸、空全方位實(shí)時(shí)三維導(dǎo)航與定位能力,是美

20、國第二代衛(wèi)星導(dǎo)航系統(tǒng),其特點(diǎn)是全天候、高精度、應(yīng)用廣,是迄今最好的導(dǎo)航定位系統(tǒng)。它廣泛的應(yīng)用價(jià)值,引起了各國科學(xué)家的關(guān)注和研究,前蘇聯(lián)和西歐各國的科學(xué)家在積極開發(fā)利用GPS信號(hào)資源的同時(shí),還致力于研究開發(fā)各自的衛(wèi)星導(dǎo)航定位系統(tǒng),如前蘇聯(lián)建成的GLONASS衛(wèi)星導(dǎo)航系統(tǒng),我國也在致力于發(fā)展自已的衛(wèi)星導(dǎo)航定位系統(tǒng)。同時(shí),它的出現(xiàn)也導(dǎo)致了測繪行業(yè)一場深刻的技術(shù)變革。 GPS全球定位系統(tǒng)在實(shí)際生活中被廣泛應(yīng)用,是當(dāng)今信息時(shí)代發(fā)展中的重

21、要組成部分。因其具有性能好、精度高、應(yīng)用廣的特點(diǎn),使其成為了迄今最好的導(dǎo)航定位系統(tǒng)。</p><p>  GPS導(dǎo)航定位系統(tǒng)之所以在許多領(lǐng)域得到廣泛應(yīng)用,出現(xiàn)了與GPS系統(tǒng)相關(guān)的產(chǎn)業(yè),這都得益于其本身所具有的諸多優(yōu)點(diǎn),概括起來主要有以下幾個(gè)方面。 定位精度高:通過很多應(yīng)用實(shí)踐已經(jīng)證明,GPS相對(duì)定位精度在50km以內(nèi)可達(dá)10-6,100km~500km可達(dá)10-7,1000km以上可達(dá)10-8,在300

22、-1500m工程精密定位中,1小時(shí)以上觀測的解算,其平面位置誤差小于1mm?;€邊長越長越能突顯是定位精度高的優(yōu)勢(shì)。 觀測時(shí)間短:由于GPS系統(tǒng)的不斷完善,軟件不斷更新,目前20km以內(nèi)相對(duì)靜態(tài)定位,僅需15~20分鐘,快速靜態(tài)相對(duì)定位測量時(shí),當(dāng)每個(gè)流動(dòng)站與基準(zhǔn)站相距在15km以內(nèi)時(shí),流動(dòng)站只需觀測1~2分鐘,動(dòng)態(tài)相對(duì)定位測量時(shí),流動(dòng)站出發(fā)時(shí)觀測1~2分鐘,然后可隨時(shí)定位,每站觀測僅需幾秒鐘。 測站間無須通視:GPS

23、測量不要求站點(diǎn)間相互通視,只需測站上空開闊即可。 可提供三維坐標(biāo):經(jīng)典大地測量將平面與高程采用不同方法施測,而GPS可同時(shí)精確測定測站點(diǎn)的三維坐標(biāo),目前GPS水準(zhǔn)可達(dá)到四等水準(zhǔn)測量的精度。 操作簡便:隨著GPS機(jī)不斷改進(jìn),自動(dòng)化程</p><p>  由于GPS具有全球覆蓋以及精度高、定位速度快、實(shí)時(shí)性好、抗干擾能力強(qiáng)等特點(diǎn),近年來在國內(nèi)外得到了廣泛的應(yīng)用,在各個(gè)領(lǐng)域發(fā)揮了極大的作用,已成為了

24、信息時(shí)代不可以或缺的一部分。</p><p>  第三章 本設(shè)計(jì)研究的內(nèi)容和所做的工作</p><p>  第一節(jié) 本設(shè)計(jì)研究的內(nèi)容</p><p>  本設(shè)計(jì)是由AT89C52單片機(jī)控制GPS模塊較為精確地計(jì)算和顯示實(shí)時(shí)時(shí)間、經(jīng)度、緯度等衛(wèi)星信息。</p><p>  此設(shè)計(jì)主要是在了解掌握了GPS和單片機(jī)的理論知識(shí)的基礎(chǔ)上,選用Atm

25、el(愛特梅爾)公司的AT89C52提取GPS模塊的接收數(shù)據(jù)并由6位LED顯示器顯示接收數(shù)據(jù)。在此設(shè)計(jì)過程中,主要熟悉了簡易GPS定位信息顯示系統(tǒng)各性能指標(biāo),學(xué)習(xí)NMEA封包并懂得使用NMEA輸出命令,結(jié)合單片機(jī)串行通信知識(shí)能實(shí)現(xiàn)對(duì)GPS接收到的衛(wèi)星信息進(jìn)行提取,并在6位LED顯示器上選擇性的顯示數(shù)據(jù)。</p><p><b>  本設(shè)計(jì)所做的工作</b></p><p&

26、gt;  本文討論了簡易GPS定位信息顯示系統(tǒng)的設(shè)計(jì), 提出了對(duì)GPS全球定位系統(tǒng)定位信息的接收以及對(duì)各定位參數(shù)數(shù)據(jù)的提取方法。在硬件方面,單片機(jī)采用12MHz晶振,以串口方式1接收GPS信息,P0口和P2口用于7段共陽LED顯示接口,LED顯示器輪流顯示實(shí)時(shí)時(shí)間、緯度、經(jīng)度或其他GPS信息數(shù)據(jù)。在軟件方面,首先進(jìn)行了單片機(jī)的信息接收處理,給出了系統(tǒng)的軟件流程圖,然后對(duì)內(nèi)存中的信息存放地址進(jìn)行了分配,并對(duì)控制程序進(jìn)行了編制。最后對(duì)硬件和

27、軟件進(jìn)行了綜合調(diào)試。</p><p><b>  第二篇 元件選擇</b></p><p>  簡易GPS定位信息顯示系統(tǒng)主要由三部分構(gòu)成:衛(wèi)星信息接收器、單片機(jī)控制器和顯示器件。</p><p>  衛(wèi)星信息接收器選用GARMIN公司的GPS25-LVS系列OEM接收板。</p><p>  GARMIN公司總部座落

28、在美國的Kansas, 是目前世界上最大的導(dǎo)航型GPS生產(chǎn)的專業(yè)廠家。GARMIN公司在這一領(lǐng)域所取得的非凡成就及所做的巨大貢獻(xiàn)是有目共睹的。GARMIN公司通過其遍及全球的代理商,維修中心,銷售及技術(shù)支持人員等不斷反饋的市場信息,憑借自己的各項(xiàng)GPS專利技術(shù),最先進(jìn)的電子技術(shù)的應(yīng)用,以及對(duì)各行業(yè)用戶使用特點(diǎn)的深刻認(rèn)識(shí),使GARMIN公司的產(chǎn)品在各方面性能指標(biāo)上已遠(yuǎn)遠(yuǎn)領(lǐng)先于同行業(yè)伙伴。</p><p>  GPS

29、25-LVS是GARMIN公司OEM板系列中的主打產(chǎn)品。它具有全屏蔽的封裝,抗干擾性好;內(nèi)置鋰電池保存數(shù)據(jù),開機(jī)定位速度快;232電平接口,可直接與計(jì)算機(jī)相連,極易上手;3.6——6V供電,電壓范圍寬;標(biāo)準(zhǔn)NMEA-0183輸出,簡單易讀;秒脈沖寬度可調(diào),方便授時(shí)應(yīng)用。</p><p>  GARMIN OEM板一直以定位速度快、工作穩(wěn)定、耐電壓沖擊和高抗干擾性而深受青睞。在車輛調(diào)度、精細(xì)農(nóng)業(yè)、高速追擊、普通授時(shí)

30、等領(lǐng)域得到廣泛應(yīng)用。其極高的性能價(jià)格比令許多OEM用戶別無它求。</p><p>  單片機(jī)控制器選用Atmel(愛特梅爾)公司的AT89C52來提取GPS模塊的接收數(shù)據(jù)。</p><p>  AT89C52是一個(gè)低電壓,高性能CMOS 8位單片機(jī),片內(nèi)含8k bytes的可反復(fù)擦寫的Flash只讀程序存儲(chǔ)器和256 bytes的隨機(jī)存取數(shù)據(jù)存儲(chǔ)器(RAM),器件采用ATMEL公司的高密度

31、、非易失性存儲(chǔ)技術(shù)生產(chǎn),兼容標(biāo)準(zhǔn)MCS-51指令系統(tǒng),片內(nèi)置通用8位中央處理器和Flash存儲(chǔ)單元,在許多較復(fù)雜系統(tǒng)控制場合應(yīng)用比較廣泛。    AT89C52有40個(gè)引腳,32個(gè)外部雙向輸入/輸出(I/O)端口,同時(shí)內(nèi)含2個(gè)外中斷口,3個(gè)16位可編程定時(shí)計(jì)數(shù)器,2個(gè)全雙工串行通信口,2個(gè)讀寫口線,AT89C52可以按照常規(guī)方法進(jìn)行編程,也可以在線編程。其將通用的微處理器和Flash存儲(chǔ)器結(jié)合在一起,特別

32、是可反復(fù)擦寫的Flash存儲(chǔ)器可有效地降低開發(fā)成本。</p><p>  AT89C52有PDIP、PQFP/TQFP及PLCC等三種封裝形式,以適應(yīng)不同產(chǎn)品的需求。其主要功能特性如下。 </p><p>  · 兼容MCS51指令系統(tǒng) </p><p>  · 8k可反復(fù)擦寫(>1000次)Flash ROM </p>&l

33、t;p>  · 32個(gè)雙向I/O口 </p><p>  · 256x8bit內(nèi)部RAM </p><p>  · 3個(gè)16位可編程定時(shí)/計(jì)數(shù)器中斷 </p><p>  · 時(shí)鐘頻率0-24MHz </p><p><b>  · 2個(gè)串行中斷 </b></

34、p><p>  · 可編程UART串行通道 </p><p>  · 2個(gè)外部中斷源 </p><p><b>  · 共6個(gè)中斷源 </b></p><p>  · 2個(gè)讀寫中斷口線 </p><p><b>  · 3級(jí)加密位 </

35、b></p><p>  · 低功耗空閑和掉電模式 </p><p>  · 軟件設(shè)置睡眠和喚醒功能</p><p>  AT89C52單片機(jī)的引腳圖如圖1所示。</p><p>  圖1 AT89C52單片機(jī)引腳圖</p><p>  顯示器件采用LED(發(fā)光二極管的英文縮寫)顯示器。它是

36、一種通過控制半導(dǎo)體發(fā)光二極管的顯示方式,用來顯示文字、圖形、圖像、動(dòng)畫、行情、視頻、錄像信號(hào)等各種信息的顯示屏幕。 LED顯示器集微電子技術(shù)、計(jì)算機(jī)技術(shù)、信息處理于一體,以其色彩鮮艷、動(dòng)態(tài)范圍廣、亮度高、壽命長、工作穩(wěn)定可靠等優(yōu)點(diǎn),成為最具優(yōu)勢(shì)的新一代顯示媒體,目前,LED顯示器已廣泛應(yīng)用于大型廣場、商業(yè)廣告、體育場館、信息傳播、新聞發(fā)布、證券交易等,可以滿足不同環(huán)境的需要。 LED顯示器結(jié)構(gòu):   

37、0; 基本的半導(dǎo)體數(shù)碼管是由七個(gè)條狀發(fā)光二極管芯片按圖12排列而成的。可實(shí)現(xiàn)0~9的顯示。其具體結(jié)構(gòu)有“反射罩式”、“條形七段式”及“單片集成式多位數(shù)字式”等 (一)反射罩式數(shù)碼管一般用白色塑料做成帶反射腔的七段式外殼,將單個(gè)LED貼在與反射罩的七個(gè)反射腔互相對(duì)位的印刷電路板上,每個(gè)反射腔底部的中心位置就是LED芯片。在裝反射罩前,用壓焊方法在芯片和印刷電路上相應(yīng)金屬條之間連好φ30μm的硅鋁絲或金屬引線,在反射罩內(nèi)滴入環(huán)氧樹脂

38、,再把帶有芯片的印刷電路板與反射罩對(duì)位粘合,然后固化。     反射罩式數(shù)碼管的封裝</p><p>  由于數(shù)碼管各段在同樣的驅(qū)動(dòng)電壓時(shí),各段正向電流不相同,所以各段發(fā)光強(qiáng)度不同。所有段的發(fā)光強(qiáng)度值中最大值與最小值之比為發(fā)光強(qiáng)度比。比值可以在1.5~2.3間,最大不能超過2.5。     (二)脈沖正向電流</p><p>  若筆畫顯示器每段

39、典型正向直流工作電流為IF,則在脈沖下,正向電流可以遠(yuǎn)大于IF。脈沖占空比越小,脈沖正向電流可以越大。</p><p><b>  第三篇 方案論證</b></p><p>  GPS接收板在市場上品種較多,GARMIN公司的GPS25-LVS系列OEM接收板具有很高的性價(jià)比,是目前應(yīng)用最廣泛的GPS接收處理板,能滿足各種導(dǎo)航和實(shí)時(shí)領(lǐng)域的需要。GPS25-LVS系列

40、OEM板采用單一5V供電,內(nèi)置保護(hù)電池,RS-232、TTL兩種電平自動(dòng)輸出NMEA-0183 2.0格式(ASCⅡ字符型)語句。其主要性能特點(diǎn)如下。</p><p>  專利技術(shù):12并行通道PhaseTrac12</p><p>  定位時(shí)間:重新捕獲<2sec</p><p>  自動(dòng)搜索:90sec</p><p><b&

41、gt;  熱啟動(dòng):15sec</b></p><p><b>  冷啟動(dòng):45sec</b></p><p>  更 新 率:1/sec-1/900sec可調(diào)</p><p>  位置精度:15mRms(SA off)/10m(差分)</p><p>  速度精度:0.1m/s ;</p>&l

42、t;p>  速度限制:515m/s</p><p>  坐標(biāo)系統(tǒng):102個(gè)預(yù)定義,1個(gè)自定義;</p><p><b>  加速度限制:6g</b></p><p>  電氣特性:兩個(gè)RS-232兼容串行口</p><p>  CMOS通訊速率:300、600、1200、2400、4800、9600、 19200

43、bps可選</p><p>  數(shù)據(jù)格式:NEMA V2.0 ASCII/二進(jìn)制可設(shè)置</p><p>  輸入數(shù)據(jù):初始位置/日期/時(shí)間,2D/3D方式 坐標(biāo)系統(tǒng),RTCM-104差分校正</p><p>  輸出數(shù)據(jù):速度、時(shí)間、機(jī)器/衛(wèi)星狀態(tài)、幾何因子及誤差估計(jì)</p><p>  秒脈沖輸出:1pps 精度±1us</

44、p><p>  輸入電壓:3.66V(LVC LVS或6-40V(HVC HVS)可選</p><p>  后備電源:可充板置3V鋰電(10年壽命)</p><p><b>  功 耗:0.9W</b></p><p>  靈 敏 度:-166dBW</p><p>  天線接口:50-ohm mcx

45、接頭有源(5V)或無源天線</p><p>  電源/數(shù)據(jù)口:單排12插針</p><p>  工作溫度:-30℃-+85℃</p><p>  儲(chǔ)存溫度:-40℃-+90℃</p><p>  結(jié) 構(gòu):單片集成主機(jī)板</p><p>  尺 寸:45×69.8×11.8mm</p>

46、<p><b>  重 量:40g</b></p><p>  NMEA協(xié)議是為了在不同的GPS(全球定位系統(tǒng))導(dǎo)航設(shè)備中建立統(tǒng)一的BTCM(海事無線電技術(shù)委員會(huì))標(biāo)準(zhǔn),由美國國家海洋電子協(xié)會(huì)(NMEA-The National Marine Electronics Associa-tion)制定的一套通訊協(xié)議。GPS接收機(jī)根據(jù)NMEA-0183協(xié)議的標(biāo)準(zhǔn)規(guī)范,將位置、速度等信息通

47、過串口傳送到PC機(jī)、PDA等設(shè)備。    NMEA-0183協(xié)議是GPS接收機(jī)應(yīng)當(dāng)遵守的標(biāo)準(zhǔn)協(xié)議,也是目前GPS接收機(jī)上使用最廣泛的協(xié)議,大多數(shù)常見的GPS接收機(jī)、GPS數(shù)據(jù)處理軟件、導(dǎo)航軟件都遵守或者至少兼容這個(gè)協(xié)議。 不過,也有少數(shù)廠商的設(shè)備使用自行約定的協(xié)議比如GARMIN的GPS設(shè)備(部分GARMIN設(shè)備也可以輸出兼容NMEA-0183協(xié)議的數(shù)據(jù))。</p><p>  考慮到端口的

48、使用要求,決定使用4組端口的AT89C52單片機(jī)作為控制器,用LED共陽顯示器及GPS25-LVS系列OEM接收板實(shí)現(xiàn)系統(tǒng)功能。簡易GPS定位信息顯示器電路設(shè)計(jì)框架圖如圖2所示。</p><p>  圖2 簡易GPS定位信息顯示器電路設(shè)計(jì)框架</p><p>  第四篇 系統(tǒng)硬件電路設(shè)計(jì)</p><p>  一個(gè)單片機(jī)應(yīng)用系統(tǒng)的硬件電路設(shè)計(jì)包含兩部分內(nèi)容:一是系

49、統(tǒng)擴(kuò)展,即單片機(jī)內(nèi)部的功能單元,如ROM、RAM、I/O、定時(shí)器/計(jì)數(shù)器、中斷系統(tǒng)等不能滿足應(yīng)用系統(tǒng)的要求時(shí),必須在片外進(jìn)行擴(kuò)展,選擇適當(dāng)?shù)男酒?,設(shè)計(jì)相應(yīng)的電路。二是系統(tǒng)的配置,即按照系統(tǒng)功能要求配置外圍設(shè)備,如鍵盤、顯示器、打印機(jī)、A/D、D/A轉(zhuǎn)換器等,要設(shè)計(jì)合適的接口電路。 系統(tǒng)的擴(kuò)展和配置應(yīng)遵循以下原則: (一)盡可能選擇典型電路,并符合單片機(jī)常規(guī)用法。為硬件系統(tǒng)的標(biāo)準(zhǔn)化、模塊化打下良好的基礎(chǔ)。 (二)系

50、統(tǒng)擴(kuò)展與外圍設(shè)備的配置水平應(yīng)充分滿足應(yīng)用系統(tǒng)的功能要求,并留有適當(dāng)余地,以便進(jìn)行二次開發(fā)。 (三)硬件結(jié)構(gòu)應(yīng)結(jié)合應(yīng)用軟件方案一并考慮。硬件結(jié)構(gòu)與軟件方案會(huì)產(chǎn)生相互影響,考慮的原則是:軟件能實(shí)現(xiàn)的功能盡可能由軟件實(shí)殃,以簡化硬件結(jié)構(gòu)。但必須注意,由軟件實(shí)現(xiàn)的硬件功能,一般響應(yīng)時(shí)間比硬件實(shí)現(xiàn)長,且占用CPU時(shí)間。 (四)系統(tǒng)中的相關(guān)器件要盡可能做到性能匹配。如選用CMOS芯片單片機(jī)構(gòu)成低功耗系統(tǒng)時(shí),系統(tǒng)中所有芯片都應(yīng)盡可能選

51、擇低功耗產(chǎn)品。 (五)可靠性及抗干擾設(shè)計(jì)是硬件設(shè)計(jì)必不可少</p><p>  簡易GPS定位信息顯示器電路原理如圖3所示。單片機(jī)采用12MHz晶振,以串口方式1接收GPS信息,P0口和P2口用于7段共陽LED顯示接口,LED顯示器輪流顯示實(shí)時(shí)時(shí)間、緯度、經(jīng)度或其他GPS信息數(shù)據(jù)。</p><p>  圖3 簡易GPS定位信息顯示器電路原理圖</p><p&g

52、t;  GPS25-LVS系列OEM接收板采用12腳的接口,接口各引腳的功能如圖4所示。設(shè)計(jì)中使用了串口1或12腳的NMEA輸出,串口1可用于PC機(jī)對(duì)OEM接收板進(jìn)行參數(shù)設(shè)置,12腳NMEA輸出用于單片機(jī)信息處理。</p><p>  圖4 GPS25-LVS板引腳接口功能</p><p>  單片機(jī)和衛(wèi)星接收器的串行通信,需要接上一個(gè)MAX232芯片。MAX232芯片是美信公司專門為電

53、腦的RS-232標(biāo)準(zhǔn)串口設(shè)計(jì)的接口電路,使用+5v單電源供電。    內(nèi)部結(jié)構(gòu)基本可分三個(gè)部分:    第一部分是電荷泵電路。由1、2、3、4、5、6腳和4只電容構(gòu)成。功能是產(chǎn)生+12v和-12v兩個(gè)電源,提供給RS-232串口電平的需要。    第二部分是數(shù)據(jù)轉(zhuǎn)換通道。由7、8、9、10、11、12、13、14腳構(gòu)成兩個(gè)數(shù)據(jù)通道。其中13腳(R1IN)、12腳(R1OU

54、T)、11腳(T1IN)、14腳(T1OUT)為第一數(shù)據(jù)通道。8腳(R2IN)、9腳(R2OUT)、10腳(T2IN)、7腳(T2OUT)為第二數(shù)據(jù)通道。    TTL/CMOS數(shù)據(jù)從T1IN、T2IN輸入轉(zhuǎn)換成RS-232數(shù)據(jù)從T1OUT、T2OUT送到電腦DP9插頭;DP9插頭的RS-232數(shù)據(jù)從R1IN、R2IN輸入轉(zhuǎn)換成TTL/CMOS數(shù)據(jù)后從R1OUT、R2OUT輸出。    第三部

55、分是供電。15腳DNG、16腳VCC(+5v)。 </p><p>  74LS244是8路3態(tài)緩沖驅(qū)動(dòng),也叫做線驅(qū)動(dòng)或者總線驅(qū)動(dòng)門電路,可以增加P2口的驅(qū)動(dòng)能力。簡單地說,它有8個(gè)輸入端,8個(gè)輸出端。 各引腳定義如下: 1------1G 1Y1-1Y4輸出控制,低電平有效,高電平高阻 2------1A1 輸入端,對(duì)應(yīng)的輸出為1Y1 3------2Y4 輸出端,對(duì)應(yīng)的

56、輸入為2A4 4------1A2 5------2Y3 6------1A3 7------1Y2 8------1A4 9------2Y1 10-----GND 地 11-----2A1 12-----1Y4 13-----2A2 14-----1Y3 15-----2A3 16-----1Y2

57、 17-----2A4 18-----1Y1 19-----2G 2Y1-2Y4輸出控制端 20-----VCC 電源正</p><p>  晶振,其作用在于產(chǎn)生原始的時(shí)鐘頻率,這個(gè)頻率經(jīng)過頻率發(fā)生器的放大或縮小后就成了電腦中各種不同的總線頻率。</p><p>  晶振一般叫做晶體諧振器,是一種機(jī)電器件,是用電損耗很小的石英晶體經(jīng)精密切割磨削并鍍上電極焊上

58、引線做成。這種晶體有一個(gè)很重要的特性,如果給他通電,他就會(huì)產(chǎn)生機(jī)械振蕩,反之,如果給他機(jī)械力,他又會(huì)產(chǎn)生電,這種特性叫機(jī)電效應(yīng)。他們有一個(gè)很重要的特點(diǎn),其振蕩頻率與他們的形狀,材料,切割方向等密切相關(guān)。由于石英晶體化學(xué)性能非常穩(wěn)定,熱膨脹系數(shù)非常小,其振蕩頻率也非常穩(wěn)定,由于控制幾何尺寸可以做到很精密,因此,其諧振頻率也很準(zhǔn)確。 根據(jù)石英晶體的機(jī)電效應(yīng),我們可以把它等效為一個(gè)電磁振蕩回路,即諧振回路。他們的機(jī)電效應(yīng)是機(jī)-電-機(jī)-

59、電....的不斷轉(zhuǎn)換,由電感和電容組成的諧振回路是電場-磁場的不斷轉(zhuǎn)換。在電路中的應(yīng)用實(shí)際上是把它當(dāng)作一個(gè)高Q值的電磁諧振回路。由于石英晶體的損耗非常小,即Q 值非常高,做振蕩器用時(shí),可以產(chǎn)生非常穩(wěn)定的振蕩,作濾波器用,可以獲得非常穩(wěn)定和陡削的帶通或帶阻曲線。</p><p>  另外,進(jìn)行單片機(jī)系統(tǒng)硬件電路設(shè)計(jì)時(shí)還應(yīng)當(dāng)考慮諸多干擾因素。影響單片機(jī)系統(tǒng)可靠安全運(yùn)行的主要因素來自系統(tǒng)內(nèi)部和外部的各種電氣干擾,并受系

60、統(tǒng)結(jié)構(gòu)設(shè)計(jì)、元器件選擇、安裝、制造工藝影響。這些干擾因素,常會(huì)導(dǎo)致單片機(jī)系統(tǒng)運(yùn)行失常,輕則影響產(chǎn)品質(zhì)量和產(chǎn)量,重則會(huì)導(dǎo)致事故,造成重大經(jīng)濟(jì)損失。 </p><p>  第五篇 硬件電路制作</p><p>  制作硬件電路首先應(yīng)根據(jù)電路原理圖,使用計(jì)算機(jī)繪圖軟件,如protel,繪制出系統(tǒng)硬件電路原理圖。其次將購買的器件焊接在線路板上,為保證所設(shè)計(jì)系統(tǒng)能在現(xiàn)場可靠工作,制作時(shí)要注

61、意以下幾點(diǎn)。</p><p> ?。ㄒ唬┍M量采用高質(zhì)量的印制電路板,孔化電阻、線距、熔劑、阻焊劑、打孔精度、鍍金厚度、基板質(zhì)量、是否數(shù)控打孔和熱風(fēng)整平等因素,都會(huì)影響應(yīng)用系統(tǒng)的調(diào)試、使用和壽命,差的板半年左右就出問題,而且時(shí)好時(shí)壞,很難維修。</p><p> ?。ǘ┰陔娐钒迳媳M量多加去耦電容,一般在電路板電源入口處并上22~47μF的低頻電容,在中間的電源與地線間并上0.1μF左右的高

62、頻小電容去耦,每四個(gè)14腳以上的芯片附近也須加上22μF電解電容和0.1μF的高頻小電容去耦。這樣能保證減小電源線及地線上的毛刺,保證可靠工作。</p><p> ?。ㄈ┖芎玫陌才诺鼐€、電源線走線,電源線盡量粗、盡量多、盡量組成網(wǎng)絡(luò)。模擬地、數(shù)字地、電源地、大地分開走線,在一點(diǎn)上可靠連接。小信號(hào)、模擬信號(hào)用屏蔽線,在板上走線時(shí)盡量靠近地線,遠(yuǎn)離大電流信號(hào)線、電源線。數(shù)字部分既會(huì)干擾小信號(hào)線,又會(huì)受大電流信號(hào)及電

63、源線干擾,也要很好安排。</p><p>  (四)直流供電盡量使用開關(guān)電源,開關(guān)電源很少受市電的電壓波動(dòng)、頻率波動(dòng)的影響,也能隔離從電源線進(jìn)入的傳導(dǎo)干擾。輸入輸出接口應(yīng)盡量采用光電隔離器,使控制系統(tǒng)做成全浮空的系統(tǒng),使之不受傳導(dǎo)干擾的影響。</p><p> ?。ㄎ澹┠承┬⌒盘?hào)線、器件、電路板應(yīng)加電磁屏蔽板或罩。 </p><p> ?。┌凑针娐吩韴D焊接GA

64、RMIN GPS25-LVS OEM接收板、AT89C52單片機(jī)、LED顯示器以及一些輔助器件的時(shí)候要保持細(xì)心和謹(jǐn)慎。</p><p>  第六篇 控制系統(tǒng)的軟件設(shè)計(jì)</p><p>  第一章 GPS25-LVS的信息輸出格式</p><p>  GPS25-LVS的通信波特率默認(rèn)值為4800,1個(gè)起始位,8個(gè)數(shù)據(jù)位,1個(gè)停止位,無奇偶校驗(yàn)。通常使用NMEA-

65、0183格式輸出,數(shù)據(jù)代碼為ASCII碼字符。NMEA-0183是美國海洋電子協(xié)會(huì)為海用電子設(shè)備制定的標(biāo)準(zhǔn)格式,目前廣泛使用V2.0版本。由于該格式為ASCII碼字符串,比較直觀和易于處理,在許多高級(jí)語言中都可以直接進(jìn)行判別、分離,以提取用戶所需要的數(shù)據(jù)。GPS25-LVS系列OEM板可輸出12句語句,分別是GPGGA,GPGSA,GPGSV,GPRMC,GPVTG,LCGLL,LCVTG,PGRME,PGRMF,PGRMT,PGRMV

66、,GPGLL。不同的語句中傳送不同的信息,如GPGGA語句中傳送的格式為</p><p>  $GPGGA,<1>,<2>,<3>,<4>,<5>,<6>,<7>,<8>,<9>,M,<10>,M,<11>,<12>*hh<CR><LF></

67、p><p>  傳送的信息說明如下:</p><p>  $GPGGA起始引導(dǎo)符及語句格式說明(本句為GPS定位數(shù)據(jù))</p><p>  <1>UTC時(shí)間,時(shí)時(shí)分分秒秒格式</p><p>  <2>緯度,度度分分.分分分分格式(第一位是零也將傳送)</p><p>  <3>緯度半球

68、,N或S(北緯或南緯)</p><p>  <4>經(jīng)度,度度分分.分分分分格式(第一位零也將傳送)</p><p>  <5>經(jīng)度半球,E或W(東經(jīng)或西經(jīng))</p><p>  <6>GPS質(zhì)量指示,0為方位無法使用,1為非差分GPS獲得方位,</p><p>  2為差分方式獲得方位(DGPS),6為估計(jì)

69、獲得</p><p>  <7>使用衛(wèi)星數(shù)量,從00到12(第一個(gè)零也將傳送)</p><p>  <8>水平精確度,0.5到99.9</p><p>  <9>天線離海平面的高度,-9999.9到9999.9米</p><p><b>  M指單位米</b></p>&

70、lt;p>  <10>大地水準(zhǔn)面高度,-999.9到9999.9米</p><p><b>  M指單位米</b></p><p>  <11>差分GPS數(shù)據(jù)期限(RTCM SC-104),最后設(shè)立RTCM傳送的秒數(shù)量(如無DGPS為0)</p><p>  <12>差分參考基站標(biāo)號(hào),從0000到102

71、3(首位0也將傳送。如無DGPS為0)</p><p><b>  *語句結(jié)束標(biāo)志符</b></p><p>  hh從$開始的所有ASCII碼的校驗(yàn)和</p><p>  <CR>此項(xiàng)在GPS25-LVS板中不傳送</p><p>  <LF>此項(xiàng)在GPS25-LVS板中不傳送</p>

72、;<p>  OEM板輸出的信息可在PC機(jī)的超級(jí)中端中顯示,也可在GARMIN公司提供的GPSCFG.EXE設(shè)置軟件中顯示,如在PC機(jī)上看到的實(shí)時(shí)接收GPGGA語句為</p><p>  $GPGGA,114641,3002.3232,N,12206.1157,E,1,03,12.9,53.2,M,11.6,M,,*4A</p><p>  這是一條GPS定位數(shù)據(jù)信息語句,意

73、思為UTC時(shí)間為11時(shí)46分41秒,位置在北緯30度2.3232分,東經(jīng)122度6.1157分,普通GPS定位方式,接收到3顆衛(wèi)星,水平精度12.9米,天線離海平面高度53.2米,所在地離地平面高度11.6米,校驗(yàn)和為4AH。</p><p>  單片機(jī)的信息接收處理</p><p>  在單片機(jī)串口收到信息后,先判別是否為語句引導(dǎo)頭“$”,然后再接收信息內(nèi)容,在收到“*”字符ASCⅡ碼后

74、再接收二個(gè)字節(jié)結(jié)束接收,然后根據(jù)語句標(biāo)識(shí)區(qū)分出信息類別以對(duì)收到ASCⅡ碼進(jìn)行處理顯示。</p><p>  注意:在處理北京時(shí)間時(shí)應(yīng)在UTC時(shí)間上加上8小時(shí)才是準(zhǔn)確的北京時(shí),在超出24小時(shí)時(shí)應(yīng)作減24小時(shí)處理。</p><p>  串口中斷程序的處理流程如下圖5。</p><p>  圖5 串口中斷程序流程圖</p><p>  第三章

75、內(nèi)存中的信息存放地址分配</p><p>  為了存放接收及處理后的時(shí)間及經(jīng)緯度數(shù)據(jù),在內(nèi)存中劃出了固定的空間。其中40H~5FH用于存放接收到的時(shí)間及經(jīng)緯度數(shù)據(jù),68H7FH存放處理后的時(shí)間及經(jīng)緯度數(shù)據(jù)。內(nèi)存中的信息存放地址分配表如表1所列。</p><p>  表1 內(nèi)存中的信息存放地址分配表</p><p>  第七篇 控制程序編制和調(diào)試</p>

76、;<p>  以下是簡易GPS定位信息顯示器單片機(jī)控制源程序:</p><p>  ;******************************</p><p>  ;* GPS方位顯示系統(tǒng) *</p><p>  ;******************************</p><p>  ;用AT

77、89C52單片機(jī)</p><p>  ;本程序接收GPS的$GPGGA信息中的時(shí)間數(shù)據(jù),采用12MHZ晶振,4800波特率接收</p><p>  ;使用資源:R0、R1、R3、R5、R6、R7,定時(shí)器T2(作波特率發(fā)生器),20H單元</p><p>  ;顯示緩沖單元在68H—7FH,時(shí)間接收數(shù)據(jù)在7AH—7BH(秒)、7CH—7DH(分)、</p>

78、<p>  ; 7EH—7FH(時(shí))</p><p><b>  ;定時(shí)器T2定義</b></p><p>  T2CON EQU 0C8H ; T2 控制寄存器</p><p>  T2MOD EQU 0C9H</p><p>  TL2

79、 EQU 0CCH ; T2 計(jì)數(shù)寄存器低字節(jié)</p><p>  TH2 EQU 0CDH ; T2 計(jì)數(shù)寄存器高字節(jié)</p><p>  TR2 EQU 0CAH ; T2 啟動(dòng)位</p><p>  RCAP2L EQU

80、0CAH ; T2 計(jì)數(shù)重栽寄存器低字節(jié)</p><p>  RCAP2H EQU 0CBH ; T2 計(jì)數(shù)重栽寄存器高字節(jié)</p><p>  DISPSP EQU 2FH ;顯示首址指針</p><p>  SFLAG BIT 00H ;信息頭

81、標(biāo)志OK</p><p>  G1FLAG BIT 01H ;G1 OK</p><p>  PFLAG BIT 02H ;P OK</p><p>  G2FLAG BIT 03H ;G2 OK</p><p>  G3FLA

82、G BIT 04H ;G3 OK</p><p>  AFLAG BIT 05H ;A OK</p><p>  DFLAG BIT 06H ;OK</p><p>  ;*******************中斷入口程序 *************

83、****</p><p>  ORG 0000H</p><p>  LJMP START</p><p>  ORG 0003H</p><p><b>  RETI</b></p><p>  ORG 000BH</p>&l

84、t;p><b>  RETI</b></p><p>  ORG 0013H</p><p><b>  RETI</b></p><p>  ORG 001BH</p><p><b>  RETI</b></p><p&

85、gt;  ORG 0023H</p><p>  LJMP INTS</p><p>  ORG 002BH</p><p><b>  RETI</b></p><p>  ;********************主 程 序 *******************</p

86、><p>  START: MOV PSW, #00H ; 設(shè)第0組寄存器</p><p>  MOV SP, #30H ; 設(shè)置堆棧指針</p><p>  MOV SCON, #01010000B ; 串口工作方式 1 (8 BIT UART

87、) 允許接收</p><p>  MOV T2CON, #00110000B ; T2CON</p><p>  MOV A,#0B2H</p><p>  MOV TL2, A ;設(shè)置波特率 ( 4800)</p><p>  MOV RCAP2L, A

88、</p><p>  MOV A,#0FFH</p><p>  MOV TH2, A</p><p>  MOV RCAP2H, A</p><p>  MOV R0, #40H ;清40-7F內(nèi)存單元</p><p>  MOV R7,

89、#40H</p><p>  CLEARDISP: MOV @R0, #00H</p><p>  INC R0</p><p>  DJNZ R7,CLEARDISP</p><p>  MOV 20H, #00H ;清標(biāo)志單元</p><p>

90、;  MOV R0,#5FH ;GPS數(shù)據(jù)在40-5F內(nèi)</p><p>  MOV R3,#20H ;接收32個(gè)數(shù)據(jù)</p><p>  SETB ES ; 允許串口中斷</p><p>  MOV IP, #00H

91、 ; 低優(yōu)先級(jí)</p><p>  SETB REN ; 啟動(dòng)串口接收</p><p>  CLR TI ; 清串口發(fā)送中斷標(biāo)志位</p><p>  CLR RI ; 清串口接收中

92、斷標(biāo)志位</p><p>  SETB TR2 ; 啟動(dòng)定時(shí)計(jì)數(shù)器 2</p><p>  SETB EA ; 開放所有中斷</p><p>  START1: MOV DISPSP,#78H ;顯示首址為78H<

93、;/p><p>  MOV R2,#03H ;顯示首址變化次數(shù)3</p><p>  START2: LCALL DISPLAY</p><p>  MOV A,DISPSP</p><p>  SUBB A,#08H</p><p>  MOV DI

94、SPSP,A ;顯示首址減8</p><p>  DJNZ R2,START2</p><p>  MOV R2,#03H</p><p>  SJMP Start1</p><p>  ;***********************顯示程序 *****************</

95、p><p>  DISPLAY: MOV R4,#0FFH</p><p>  DISPLAY1: MOV R1,DISPSP</p><p>  MOV R5,#0FEH</p><p>  PLAY: MOV A,R5</p><p>  MOV P2,

96、A</p><p>  MOV A,@R1</p><p>  MOV DPTR,#TAB</p><p>  MOVC A,@A+DPTR</p><p>  MOV P0,A</p><p>  LCALL DL1MS</p><p><b>  INC R1

97、</b></p><p>  MOV A,R5</p><p>  JNB ACC.7,ENDOUT</p><p><b>  RL A</b></p><p>  MOV R5,A</p><p>  AJMP PLAY</p><p&

98、gt;  ENDOUT: DJNZ R4,DISPLAY1</p><p>  MOV P2,#0FFH</p><p>  MOV P0,#0FFH</p><p><b>  RET</b></p><p>  TAB: DB 0C0H,0F9H,0A4H,0B0H,99

99、H,92H,82H,0F8H,80H,90H,0FFH,086H,0C8H</p><p>  ; “0”,“1”,“2”,“3”,“4”,“5”,“6”,“7”,“8”,“9”,“滅?”,“E”,“N”</p><p><b>  RET</b></p><p>  ;**********************延時(shí)

100、程序 ******************</p><p>  DL1MS: MOV R6,#14H</p><p>  DL1: MOV R7,#19H</p><p>  DL2: DJNZ R7,DL2</p><p>  DJNZ R6,DL1<

101、/p><p><b>  RET</b></p><p>  ;*****************中斷接收程序 *******************</p><p>  INTS: PUSH ACC</p><p>  JBC RI,RXINTS</p><p><b>

102、  CLR TI</b></p><p>  LJMP INTSOUT</p><p>  RXINTS: MOV A,SBUF</p><p>  JB DFLAG,DF ;是$GPGGA,轉(zhuǎn)AF接收時(shí)間數(shù)據(jù)</p><p>  JB AFLAG,AF ;判斷是否是“,”<

103、/p><p>  JB G3FLAG,G3F ;判斷是否是A</p><p>  JB G2FLAG,G2F ;判斷是否是第三個(gè)G</p><p>  JB PFLAG,PF ;判斷是否是第二個(gè)G</p><p>  JB G1FLAG,G1F ;判斷是否是P</p>&l

104、t;p>  JB SFLAG,SF ;判斷是否是第一個(gè)G</p><p>  XRL A,#24H ;判斷是否是“$”</p><p>  JZ SYES</p><p>  MOV 20H,#00H ;不是$,清所有標(biāo)志</p><p>  LJMP INTSOUT<

105、;/p><p>  SYES: SETB SFLAG ;是$,設(shè)標(biāo)志</p><p>  LJMP INTSOUT</p><p>  SF: XRL A,#47H ;是第一個(gè)“G”嗎?</p><p>  JZ G1YES ;是G,轉(zhuǎn)G1yes<

106、;/p><p>  MOV 20H,#00H</p><p>  LJMP INTSOUT</p><p>  G1YES: SETB G1FLAG</p><p>  INTSOUT: POP ACC</p><p><b>  RETI</b></p><

107、p>  G1F: XRL A,#50H ;是“P”嗎?</p><p>  JZ PYES ;是P,轉(zhuǎn)Pyes</p><p>  MOV 20H,#00H</p><p>  LJMP INTSOUT</p><p>  PYES: SETB PFLAG&

108、lt;/p><p>  LJMP INTSOUT</p><p>  PF: XRL A,#47H ;是第二個(gè)“G”嗎?</p><p>  JZ G2YES ;是G,轉(zhuǎn)G2yes</p><p>  MOV 20H,#00H</p><p>  LJMP

109、INTSOUT</p><p>  G2YES: SETB G2FLAG</p><p>  LJMP INTSOUT</p><p>  G2F: XRL A,#47H ;是第三個(gè)“G”嗎?</p><p>  JZ G3YES ;是G,轉(zhuǎn)G3yes</p>

110、<p>  MOV 20H,#00H</p><p>  LJMP INTSOUT</p><p>  G3YES: SETB G3FLAG</p><p>  LJMP INTSOUT</p><p>  G3F: XRL A,#41H ;是“A”嗎?</p>

111、<p>  JZ AYES ;是A,轉(zhuǎn)Ayes</p><p>  MOV 20H,#00H</p><p>  LJMP INTSOUT</p><p>  AYES: SETB AFLAG</p><p>  LJMP INTSOUT</p><p>  AF

112、: XRL A,#2CH ;是“,”嗎?</p><p>  JZ DYES ;是“,”,轉(zhuǎn)Dyes</p><p>  MOV 20H,#00H</p><p>  LJMP INTSOUT</p><p>  DYES: SETB DFLAG</p>

113、;<p>  LJMP INTSOUT</p><p>  ;接收GPS時(shí)間數(shù)據(jù),共32個(gè)字節(jié),在40-5F單元</p><p>  DF: MOV @R0,A</p><p><b>  DEC R0</b></p><p>  DJNZ R3,INTSOUT</p>

114、<p>  MOV R3,#20H ;數(shù)字ASCⅡ碼轉(zhuǎn)換成數(shù)字</p><p>  MOV R0,#40H</p><p>  DF1: MOV A,@R0</p><p><b>  CLR C</b></p><p>  SUBB A,#30H</p>

115、<p>  MOV @R0,A</p><p><b>  INC R0</b></p><p>  DJNZ R3,DF1</p><p>  MOV A,5FH ;格林時(shí)轉(zhuǎn)換成北京時(shí)間(時(shí)加8)</p><p>  MOV B,#10</p><p>

116、;<b>  MUL AB</b></p><p>  ADD A,5EH</p><p>  ADD A,#08H</p><p><b>  CLR C</b></p><p>  CJNE A,#18H,DF2 ;是否大于24</p><p>  D

117、F2: JC DF3</p><p>  SUBB A,#18H ;大于24減24</p><p>  DF3: MOV B,#10 ;時(shí)十位、個(gè)位恢復(fù)為BCD碼</p><p><b>  DIV AB</b></p><p>  MOV

118、 5FH,A</p><p>  MOV 5EH,B</p><p>  MOV 7FH,5FH ;將收到數(shù)據(jù)移入顯示單元</p><p>  MOV 7EH,5EH</p><p>  MOV 7DH,5DH</p><p>  MOV 7CH,5CH</p><p>

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論