基于fpga的信號(hào)發(fā)生器說(shuō)明書(shū)_第1頁(yè)
已閱讀1頁(yè),還剩46頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p>  基于FPGA的信號(hào)發(fā)生器</p><p><b>  摘 要</b></p><p>  本次設(shè)計(jì)課題為應(yīng)用VHDL語(yǔ)言及MAX+PLUS II軟件提供的原理圖輸入設(shè)計(jì)功能,結(jié)合電子線路的設(shè)計(jì)加以完成一個(gè)可應(yīng)用于數(shù)字系統(tǒng)開(kāi)發(fā)或?qū)嶒?yàn)時(shí)做輸入脈沖信號(hào)或基準(zhǔn)脈沖信號(hào)用的信號(hào)發(fā)生器,它具結(jié)構(gòu)緊湊,性能穩(wěn)定,設(shè)計(jì)結(jié)構(gòu)靈活,方便進(jìn)行多功能組合的特點(diǎn),經(jīng)濟(jì)實(shí)

2、用,成本低廉。具有產(chǎn)生三種基本波形脈沖信號(hào)(正弦波、矩形波和三角波),以及三次(及三次以下)諧波與基波的線性組合脈沖波形輸出,且單脈沖輸出脈寬及連續(xù)脈沖輸出頻率可調(diào),范圍從100HZ到1kHZ,步進(jìn)為100HZ;幅度可調(diào),從0到5伏,步進(jìn)為0.1V。</p><p>  關(guān)鍵詞:信號(hào)發(fā)生器, FPGA,EDA,VHDL語(yǔ)言。</p><p><b>  Abstract</

3、b></p><p>  The design project for the application VHDL language and MAX + PLUS II software provides the principle diagram input function design, combined with the design of the electronic circuit to comp

4、lete a can be applied to digital system development or experiment do input pulse signal or reference pulse signal with signal generator, it has compact structure, stable performance, design structure agile, facilitate m

5、ulti-function combination of characteristics, economic and practical, the cost is low. A</p><p>  Key words:Signal generator, FPGA, EDA, VHDL language.1 前言</p><p>  隨著我國(guó)的經(jīng)濟(jì)日益增長(zhǎng),社會(huì)對(duì)電子產(chǎn)品的需求量也就越來(lái)越大

6、,目前,我國(guó)的電子產(chǎn)品市場(chǎng)正在迅速的壯大,市場(chǎng)前景廣闊。FPGA(Field Programmable Gate Array,現(xiàn)場(chǎng)可編程門(mén)陣列)在現(xiàn)代數(shù)字電路設(shè)計(jì)中發(fā)揮著越來(lái)越重要的作用。FPGA/CPLD(Complex Programmable Logic Device)所具有的靜態(tài)可重復(fù)編程和動(dòng)態(tài)在系統(tǒng)重構(gòu)的特性,使得硬件的功能可以像軟件一樣通過(guò)編程來(lái)修改,這樣就極大地提高了電子系統(tǒng)設(shè)計(jì)的靈活性和通用性,縮短了產(chǎn)品的上市時(shí)間并降低

7、可電子系統(tǒng)的開(kāi)發(fā)成本,且可以毫不夸張地講,F(xiàn)PGA/CPLD能完成任何數(shù)字器件的功能,從簡(jiǎn)單的74電路到高性能的CPU。它的影響毫不亞于20世紀(jì)70年代單片機(jī)的發(fā)明和使用。</p><p>  現(xiàn)在隨著電子技術(shù)的發(fā)展,產(chǎn)品的技術(shù)含量越來(lái)越高,使得芯片的復(fù)雜程度越來(lái)越高,人們對(duì)數(shù)萬(wàn)門(mén)乃至數(shù)百萬(wàn)門(mén)設(shè)計(jì)的需求也越來(lái)越多,特別是專(zhuān)用集成電路(ASIC)設(shè)計(jì)技術(shù)的日趨進(jìn)步和完善,推動(dòng)了數(shù)字系統(tǒng)設(shè)計(jì)的迅速發(fā)展。僅靠原理圖輸入

8、方式已不能滿足要求,采用硬件描述語(yǔ)言VHDL的設(shè)計(jì)方式應(yīng)運(yùn)而生,解決了傳統(tǒng)用電路原理圖設(shè)計(jì)大系統(tǒng)工程時(shí)的諸多不便,成為電子電路設(shè)計(jì)人員的最得力助手。設(shè)計(jì)工作從行為、功能級(jí)開(kāi)始,并向著設(shè)計(jì)的高層次發(fā)展。這樣就出現(xiàn)了第三代EDA系統(tǒng),其特點(diǎn)是高層次設(shè)計(jì)的自動(dòng)化。 </p><p>  第三代EDA系統(tǒng)中除了引入硬件描述語(yǔ)言,還引入了行為綜合工具和邏輯綜合工具,采用較高的抽象層次進(jìn)行設(shè)計(jì),并按層次式方法進(jìn)行管理,可大大

9、提高處理復(fù)雜設(shè)計(jì)的能力,縮短設(shè)計(jì)周期,綜合優(yōu)化工具的采用使芯片的品質(zhì)如面積、速度和功耗等獲得了優(yōu)化,因而第三代EDA系統(tǒng)迅速得到了推廣應(yīng)用。</p><p>  目前,最通用的硬件描述語(yǔ)言有VHDL和VerilogHDL兩種,現(xiàn)在大多設(shè)計(jì)者都使用93年版標(biāo)準(zhǔn)的VHDL,并且通過(guò)了IEEE認(rèn)定,成為世界范圍內(nèi)通用的數(shù)字系統(tǒng)設(shè)計(jì)標(biāo)準(zhǔn)。VHDL是一種新興的程序設(shè)計(jì)語(yǔ)言,使用VHDL進(jìn)行設(shè)計(jì)其性能總是比常規(guī)使用CPU或者

10、MCU的程序設(shè)計(jì)語(yǔ)言在性能上要高好幾個(gè)數(shù)量級(jí)。這就是說(shuō),在傳統(tǒng)上使用軟件語(yǔ)言的地方,VHDL語(yǔ)言作為一種新的實(shí)現(xiàn)方式會(huì)應(yīng)用得越來(lái)越廣泛。本課題設(shè)計(jì)是采用美國(guó)Altera公司的FLEX10K10器件,使用的是Altera公司的EDA軟件平臺(tái)Maxplus –II可編程邏輯器件開(kāi)發(fā)軟件。基于EDA工具的FPGA/CPLD的開(kāi)發(fā)流程CPLD/FPGA器件的設(shè)計(jì)一般可分為設(shè)計(jì)輸入、設(shè)計(jì)實(shí)現(xiàn)和編程三個(gè)設(shè)計(jì)步驟:</p><p&

11、gt;  1.設(shè)計(jì)輸入方式主要由文本輸入和圖形輸入兩種,可根據(jù)需要選擇,也可混合輸入。EDA工具會(huì)自動(dòng)檢查語(yǔ)法;</p><p>  2.設(shè)計(jì)實(shí)現(xiàn)階段EDA工具對(duì)設(shè)計(jì)文件進(jìn)行編譯,進(jìn)行邏輯綜合、優(yōu)化,并針對(duì)器件進(jìn)行映射、布局、布線,產(chǎn)生相應(yīng)的適配文件;</p><p>  3.編程階段EDA軟件將適配文件配置到相應(yīng)的CPLD/FPGA器件中,使其能夠?qū)崿F(xiàn)預(yù)期的功能。</p>

12、<p>  信號(hào)發(fā)生器是數(shù)字設(shè)備運(yùn)行工作中必不可少的一部分,沒(méi)有良好的脈沖信號(hào)源,最終就會(huì)導(dǎo)致系統(tǒng)不能夠正常工作,更不必談什么實(shí)現(xiàn)其它功能了。不論是處于開(kāi)發(fā)還是故障檢修階段,輸出標(biāo)準(zhǔn)且性能優(yōu)秀的信號(hào)發(fā)生器總是能夠帶來(lái)工作效率的大幅提升,使新產(chǎn)品有一個(gè)標(biāo)準(zhǔn)的信號(hào)源、損壞的系統(tǒng)得到正確校驗(yàn),不會(huì)被一些故障所蒙蔽。在傳統(tǒng)的信號(hào)發(fā)生器中,大都使用分立元件,而且體積龐大攜帶不便,且大部分只能輸出一種脈沖信號(hào)波形。在設(shè)計(jì)領(lǐng)域,不管采用什么

13、技術(shù)生產(chǎn),生產(chǎn)的產(chǎn)品用在哪里,其產(chǎn)品設(shè)計(jì)的宗旨都是離不開(kāi)以下幾點(diǎn):實(shí)用性高、成本低、可升級(jí)、功能完善可擴(kuò)展等!使用專(zhuān)用的數(shù)字電路設(shè)計(jì)的信號(hào)發(fā)生器,設(shè)備成本高、使用復(fù)雜?;谝陨峡紤],在中小型數(shù)字電路的設(shè)計(jì)和測(cè)試中,迫切需要設(shè)計(jì)一種小型易用成本低廉的信號(hào)發(fā)生器。此課題的設(shè)計(jì)以小型經(jīng)濟(jì),集成度高,性能穩(wěn)定,使用方便為指導(dǎo),在功能上力求完善實(shí)用,同時(shí)兼顧商業(yè)價(jià)值與應(yīng)用價(jià)值的體現(xiàn)。</p><p><b>  

14、2 FPGA簡(jiǎn)介</b></p><p>  FPGA(Field-Programmable Gate Array)即現(xiàn)場(chǎng)可編程門(mén)陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。它是作為專(zhuān)用集成電路(ASIC)領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決了定制電路的不足,又克服了原有可編程器件門(mén)電路數(shù)有限的缺點(diǎn)。</p><p>  與傳統(tǒng)們陣列和掩??删幊?/p>

15、門(mén)陣列(MPGA)相比,F(xiàn)PGA具有很多的優(yōu)點(diǎn),傳統(tǒng)門(mén)陣列可以用來(lái)設(shè)計(jì)任何電路,但是只能在工廠中一次性編程,而且還需要針對(duì)該電路的特定的掩模。FPGA是標(biāo)準(zhǔn)通用器件。使用其代替MPGA,可以將設(shè)計(jì)時(shí)間由幾個(gè)月縮短至幾小時(shí),并且使設(shè)計(jì)更加簡(jiǎn)單,從而減少了錯(cuò)誤修改和設(shè)計(jì)指標(biāo)變更的花費(fèi)。</p><p>  FPGA器件在結(jié)構(gòu)上,由邏輯功能塊排列為陣列,它的結(jié)構(gòu)可以分為三個(gè)部分:可編程快CLB(Configurable

16、 Logic Blocks)、可編程I/O模塊IOB(Input Block)和可編程內(nèi)部連線PI(Programmable Interconnect)。CLB在器件中排列為陣列,周?chē)协h(huán)形內(nèi)部連線,IOB分布在四周的管腳上。</p><p>  FPGA也存在缺點(diǎn),F(xiàn)PGA中,每個(gè)可編程的點(diǎn)都有電阻和電容。電阻和電容的使用減慢了信號(hào)的傳輸速度,所以FPGA的速度比傳統(tǒng)門(mén)陣列要低,而且,F(xiàn)PGA中互聯(lián)延遲是不可預(yù)

17、測(cè)的。</p><p>  FPGA的基本特點(diǎn)主要有:    一、采用FPGA設(shè)計(jì)ASIC電路,用戶(hù)不需要投片生產(chǎn),就能得到合用的芯片。     二、FPGA可做其它全定制或半定制ASIC電路的中試樣片。     三、FPGA內(nèi)部有豐富的觸發(fā)器和I/O引腳。     四、FPGA是ASI

18、C電路中設(shè)計(jì)周期最短、開(kāi)發(fā)費(fèi)用最低、風(fēng)險(xiǎn)最小的器件之一。     五、FPGA采用高速CHMOS工藝,功耗低,可以與CMOS、TTL電平兼容。    使用FPGA時(shí),可以根據(jù)不同的配置模式,采用不同的編程方式。加電時(shí),F(xiàn)PGA芯片將EPROM中數(shù)據(jù)讀入片內(nèi)編程RAM中,配置完成后,F(xiàn)PGA進(jìn)入工作狀態(tài)。掉電后,F(xiàn)PGA恢復(fù)成白片,內(nèi)部邏輯關(guān)系消失,因此,F(xiàn)PGA能

19、夠反復(fù)使用。FPGA的編程無(wú)須專(zhuān)用的FPGA編程器,只須用通用的EPROM、PROM編程器即可。當(dāng)需要修改FPGA功能時(shí),只需換一片EPROM即可。這樣,同一片F(xiàn)PGA,不同的編程數(shù)據(jù),可以產(chǎn)生不同的電路功能。因此,F(xiàn)PGA的使用非常靈活。FPGA有多種配置模式:并行主模式為一片F(xiàn)PGA加一片EPROM的方式;主從模式可以支持一片PROM編程多片F(xiàn)PGA;串行</p><p>  3 VHDL & Ver

20、ilog簡(jiǎn)介</p><p>  VHDL(Very High Speed Integrated Circuit Hardware Description Language,超高速集成電路硬件描述語(yǔ)言)是一種用來(lái)描述數(shù)字系統(tǒng)行為和結(jié)構(gòu)的硬件描述語(yǔ)言,被廣泛的運(yùn)用于描述和仿真各種數(shù)字系統(tǒng),小到幾個(gè)門(mén),大到許多復(fù)雜集成電路相連的系統(tǒng)。</p><p>  VHDL誕生于1982年,是由美國(guó)國(guó)防

21、部開(kāi)發(fā)的一種快速設(shè)計(jì)電路的工具,目前已經(jīng)成為IEEE(The Institute of Electrical and Electronics Engineers)的一種工業(yè)標(biāo)準(zhǔn)硬件描述語(yǔ)言。相比傳統(tǒng)的電路系統(tǒng)的設(shè)計(jì)方法,VHDL具有多層次描述系統(tǒng)硬件功能的能力,支持自頂向下(Top to Down)和基于庫(kù)(Library Based)的設(shè)計(jì)的特點(diǎn),因此設(shè)計(jì)者可以不必了解硬件結(jié)構(gòu)。從系統(tǒng)設(shè)計(jì)入手,在頂層進(jìn)行系統(tǒng)方框圖的劃分和結(jié)構(gòu)設(shè)計(jì),在

22、方框圖一級(jí)用VHDL對(duì)電路的行為進(jìn)行描述,并進(jìn)行仿真和糾錯(cuò),然后在系統(tǒng)一級(jí)進(jìn)行驗(yàn)證,最后再用邏輯綜合優(yōu)化工具生成具體的門(mén)級(jí)邏輯電路的網(wǎng)表,下載到具體的FPGA器件中去,從而實(shí)現(xiàn)可編程的專(zhuān)用集成電路(ASIC)的設(shè)計(jì)。</p><p>  Verilog也是一種流行的硬件描述語(yǔ)言,他是由工業(yè)界開(kāi)發(fā)的,1984年,Verilog作為一種私用的硬件描述語(yǔ)言,由Gateway Design Automation公司給出,

23、1988年,Synopsis公司為Verilog開(kāi)發(fā)了綜合工具。1995年,Verilog成為IEEE的一個(gè)標(biāo)準(zhǔn)。Verilog 適合算法級(jí),寄存器級(jí),邏輯級(jí),門(mén)級(jí)和版圖級(jí)等各個(gè)層次的設(shè)計(jì)和描述。</p><p>  VHDL的語(yǔ)法是基于ADA語(yǔ)言的,而Verilog的語(yǔ)法是基于C語(yǔ)言的。由于是基于C語(yǔ)言,所以它更容易掌握,但是VHDL語(yǔ)言在設(shè)計(jì)和描述大型系統(tǒng)時(shí)具有突出優(yōu)勢(shì)。目前兩種語(yǔ)言各占一半市場(chǎng)份額,兩種語(yǔ)言

24、都可以滿足數(shù)字系統(tǒng)設(shè)計(jì)者的需求。</p><p>  本設(shè)計(jì)中采用VHDL語(yǔ)言進(jìn)行設(shè)計(jì)。</p><p><b>  4 設(shè)計(jì)工具簡(jiǎn)介</b></p><p>  本次設(shè)計(jì)是基于Altera公司的QuartusII軟件。</p><p>  Quartus II 是Altera公司的綜合性PLD開(kāi)發(fā)軟件,支持原理圖、VH

25、DL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多種設(shè)計(jì)輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設(shè)計(jì)輸入到硬件配置的完整PLD設(shè)計(jì)流程。</p><p>  QuartusII支持Altera的IP核,包含了LPM/MegaFuction宏功能模塊庫(kù),使用它,可以簡(jiǎn)化設(shè)計(jì)的復(fù)雜性,加快設(shè)計(jì)速度。QuartusII平臺(tái)支持一個(gè)工作組環(huán)境下的

26、設(shè)計(jì)要求,其中包括支持基于Internet的協(xié)作設(shè)計(jì)。此外,QuartusII通過(guò)和DSP Builder工具與Matlab/SIMULINK相結(jié)合,可以方便地實(shí)現(xiàn)各種DSP應(yīng)用系統(tǒng);支持Altera的片上可編程系統(tǒng)開(kāi)發(fā),集系統(tǒng)級(jí)設(shè)計(jì)、嵌入式軟件設(shè)計(jì)、可編程邏輯設(shè)計(jì)于一體,是一種綜性的開(kāi)發(fā)平臺(tái)。</p><p><b>  5 系統(tǒng)設(shè)計(jì)</b></p><p>  基

27、于VHDL語(yǔ)言設(shè)計(jì)一個(gè)多功能信號(hào)發(fā)生器,通過(guò)選擇輸入信號(hào),可以輸出遞增鋸齒波、遞減鋸齒波、三角波、方波等四種信號(hào)。信號(hào)發(fā)生器的控制模塊可以選用數(shù)據(jù)選擇器實(shí)現(xiàn),4種信號(hào)的數(shù)據(jù)選擇可以使用4選1數(shù)據(jù)選擇器實(shí)現(xiàn)。</p><p>  5.1 VHDL程序語(yǔ)言基本設(shè)計(jì)</p><p>  一個(gè)VHDL語(yǔ)言的設(shè)計(jì)程序描述的是一個(gè)電路單元,這個(gè)電路單元可以是一個(gè)門(mén)電路,或者是一個(gè)計(jì)數(shù)器,也可以是一個(gè)C

28、PU,一般情況下,一個(gè)完整的VHDL語(yǔ)言程序至少包括實(shí)體、結(jié)構(gòu)體和程序包三個(gè)部分。實(shí)體給出電路單元的外部輸入輸出接口信號(hào)和引用信息,結(jié)構(gòu)體給出了電路單元的內(nèi)部結(jié)構(gòu)和信號(hào)的行為特點(diǎn),程序包定義在設(shè)計(jì)結(jié)構(gòu)體和實(shí)體中將用到的常數(shù)、數(shù)據(jù)類(lèi)型、子程序和設(shè)計(jì)好的電路單元等。</p><p>  第一部分是程序包,程序包是用VHDL語(yǔ)言編寫(xiě)的共享文件,定義在設(shè)計(jì)結(jié)構(gòu)體和實(shí)體中將要用到的常數(shù)、數(shù)據(jù)類(lèi)型、子程序和設(shè)計(jì)好的電路單元等

29、,放在文件目錄名稱(chēng)為IEEE的程序包庫(kù)中。</p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_arith.all;</p><p>  use ieee.std_logic_unsigned.all;</

30、p><p>  第二部分是程序的實(shí)體,定義電路單元的輸入/輸出引腳名稱(chēng)。程序的實(shí)體名稱(chēng)可以任意取,但必須與VHDL程序的文件名稱(chēng)相同。實(shí)體的標(biāo)示符是ENTITY,實(shí)體以ENTITY開(kāi)頭,以END結(jié)束。</p><p>  ENTITY fulladder IS</p><p>  PORT(a,b,Ci:in std_logic;</p><p

31、>  Co,s: out std_logic_vector(7 downto 0));</p><p>  END fulladder;</p><p>  其中,定義了a,b, Ci為輸入信號(hào)引腳,定義Co,s為輸出信號(hào)引腳。</p><p>  第三部分是程序的結(jié)構(gòu)體,具體描述電路的內(nèi)部結(jié)構(gòu)和邏輯功能。結(jié)構(gòu)體有三種描述方式,分別是行為(BEHAVIOR

32、)描述方式、數(shù)據(jù)流(DATAFLOW)描述方式和結(jié)構(gòu)描述方式。其中數(shù)據(jù)流描述方式又被稱(chēng)為寄存器(RTL)描述方式。結(jié)構(gòu)體以表示ARCHITECHTURE開(kāi)頭,以END結(jié)尾。結(jié)構(gòu)體的名稱(chēng)可以任取。</p><p>  architecture behav of fulladder is</p><p><b>  BEGIN</b></p><

33、;p>  s<=a xor b xor Ci;</p><p>  Co<=(a and b)or(a and Ci)or(b and Ci);</p><p>  END fulladder</p><p>  上面程序段中結(jié)構(gòu)體的描述方式屬于程序流描述方式。</p><p>  以上三段程序是一個(gè)完整的VHDL程序段,實(shí)

34、現(xiàn)的功能是一位全加器。</p><p>  5.2 波形發(fā)生器設(shè)計(jì)</p><p>  采用DDS技術(shù)可以很方便地產(chǎn)生各種高質(zhì)量的波形。DDS技術(shù)是從相位概念出發(fā)之結(jié)合成所需要波形的一種頻率合成技術(shù)。以正弦波為例,首先要按照一定的采樣點(diǎn)數(shù)將正弦波形一個(gè)周期的數(shù)據(jù)信息存于ROM表中,表中包含著一個(gè)周期正弦波的數(shù)字幅度信息,每個(gè)地址對(duì)應(yīng)正弦波中0到360度范圍內(nèi)的一個(gè)相位點(diǎn)的幅度值,查找表時(shí)即

35、是把輸入的地址相位信息映射成正弦波幅度的數(shù)字量信號(hào),通過(guò)設(shè)置的輸出端口輸出。在實(shí)物設(shè)計(jì)中,可以使用D/A接口來(lái)實(shí)現(xiàn)波形信號(hào)的輸出。</p><p>  為簡(jiǎn)化設(shè)計(jì)過(guò)程,本設(shè)計(jì)并未采用DDS技術(shù),而是采用描點(diǎn)輸出的方式,實(shí)現(xiàn)波形發(fā)生器的設(shè)計(jì)。程序中設(shè)置一個(gè)波形的起始點(diǎn),經(jīng)過(guò)比較、計(jì)算得出波形的其他數(shù)值,將這些點(diǎn)依次連續(xù)輸出,從而實(shí)現(xiàn)波形的仿真。以遞增鋸齒波為例,首先定義初始點(diǎn)為 tmp=“00000000”

36、;在時(shí)鐘上升沿到來(lái)時(shí),執(zhí)行tmp<=tmp+1;語(yǔ)句,同時(shí)將tmp輸出,當(dāng)</p><p>  tmp=“11111111”;時(shí),將tmp值清零,執(zhí)行下一個(gè)循環(huán)。</p><p>  在本設(shè)計(jì)中,采用QuartusII軟件仿真,所以可以通過(guò)波形文件直觀的反映出輸出的數(shù)字量的變化情況,以達(dá)到波形輸出的仿真。</p><p>  設(shè)計(jì)中分別對(duì)四種波形進(jìn)行編程,編譯

37、無(wú)誤后,封裝生成模塊文件,以供在后面的頂層電路設(shè)計(jì)中使用。</p><p>  圖5-1 四種波形封裝圖塊</p><p>  本設(shè)計(jì)中設(shè)置了四種波形,分別是遞增鋸齒波、遞減鋸齒波、三角波、方波。四種波形通過(guò)一個(gè)4選1數(shù)據(jù)選擇器來(lái)實(shí)現(xiàn)選擇性輸出。</p><p>  5.3 四選一數(shù)據(jù)選擇器設(shè)計(jì)</p><p>  可以采用集成四選一數(shù)據(jù)選擇

38、器CT54153/CT74153,也可以使用軟件編程生成模塊的方式實(shí)現(xiàn)數(shù)據(jù)四選一的功能。在本設(shè)計(jì)中采用后者,程序中,為每一種波形分配一個(gè)通道,并為之賦予一唯一的代碼,當(dāng)在sel端輸入不同的代碼時(shí),被選中的通道打開(kāi),響應(yīng)的波形發(fā)生模塊產(chǎn)生波形。</p><p>  entity mux41 is</p><p>  port(sel:in std_logic_vector(2 downto

39、0);--定義輸入端口sel</p><p>  d1,d2,d3,d4: in std_logic_vector(7 downto 0);--定義輸入端口d1,d2,d3,d4</p><p>  q: out std_logic_vector(7 downto 0));--定義輸出端口</p><p>  end mux41;</p><p&

40、gt;  architecture behav of mux41 is</p><p><b>  begin</b></p><p>  process(sel)</p><p><b>  begin</b></p><p>  case sel is</p><p> 

41、 when "001"=>q<=d1;</p><p>  when "010"=>q<=d2;</p><p>  when "011"=>q<=d3;</p><p>  when "100"=>q<=d4;</p>&l

42、t;p>  when others=>null;</p><p><b>  end case;</b></p><p>  end process; 圖5-2四選一數(shù)據(jù)選擇器封裝圖塊</p><p>  end behav;</p><p>  以上為四

43、選一數(shù)據(jù)選擇器程序段,其中sel端口為外部輸入選擇信號(hào),d1,d2,d3,d4分別連接四個(gè)波形發(fā)生模塊,以二進(jìn)制形式給sel幅值,選擇相應(yīng)的通道。q為輸出端口,將波形信息的數(shù)字代碼輸出。</p><p><b>  5.4 程序設(shè)計(jì)</b></p><p>  圖5-3 系統(tǒng)流程圖</p><p>  5.5 主要函數(shù)語(yǔ)句分析</p>

44、;<p>  在程序設(shè)計(jì)中,主要使用的函數(shù)語(yǔ)句有兩種:If-else語(yǔ)句和case-when語(yǔ)句。這兩種語(yǔ)句也是VHDL程序設(shè)計(jì)中常用的語(yǔ)句。二者都屬于流程控制語(yǔ)句。流程控制語(yǔ)句通過(guò)條件控制開(kāi)關(guān)決定是否執(zhí)行一條或幾條語(yǔ)句或重復(fù)執(zhí)行一條或幾條語(yǔ)句或跳過(guò)一條或幾條語(yǔ)句 。</p><p>  IF語(yǔ)句是一種條件語(yǔ)句,它根據(jù)語(yǔ)句中所設(shè)置的一種或多種條件,有選擇地執(zhí)行指定的順序語(yǔ)句。IF語(yǔ)句的語(yǔ)句結(jié)構(gòu)有以下

45、三種:</p><p>  IF 條件句 Then -- 第一種IF語(yǔ)句結(jié)構(gòu)</p><p><b>  順序語(yǔ)句</b></p><p><b>  END IF</b></p><p>  IF 條件句 Then -- 第二種IF語(yǔ)句結(jié)構(gòu)</p><p&g

46、t;<b>  順序語(yǔ)句</b></p><p><b>  ELSE</b></p><p><b>  順序語(yǔ)句</b></p><p><b>  END IF</b></p><p>  IF 條件句 Then -- 第三種IF語(yǔ)句結(jié)構(gòu)&

47、lt;/p><p><b>  順序語(yǔ)句</b></p><p>  ELSIF 條件句 Then</p><p><b>  順序語(yǔ)句</b></p><p><b>  ...</b></p><p><b>  ELSE</b>

48、</p><p><b>  順序語(yǔ)句</b></p><p><b>  END IF</b></p><p>  CASE語(yǔ)句根據(jù)滿足的條件直接選擇多項(xiàng)順序語(yǔ)句中的一項(xiàng)執(zhí)行,CASE語(yǔ)句的結(jié)構(gòu)如下:</p><p>  CASE 表達(dá)式 IS</p><p>  When

49、 選擇值 => 順序語(yǔ)句</p><p>  When 選擇值 => 順序語(yǔ)句</p><p><b>  ...</b></p><p><b>  END CASE </b></p><p>  當(dāng)執(zhí)行到CASE語(yǔ)句時(shí),首先計(jì)算表達(dá)式的值,然后根據(jù)條件句中與之相同的選擇值。執(zhí)行對(duì)應(yīng)的

50、順序語(yǔ)句,最后結(jié)束 CASE語(yǔ)句。表達(dá)式可以是一個(gè)整數(shù)類(lèi)型或枚舉類(lèi)型的值,</p><p>  也可以是由這些數(shù)據(jù)類(lèi)型的值構(gòu)成的數(shù)組。</p><p>  5.6 系統(tǒng)頂層文件</p><p>  將上述5個(gè)模塊(遞增鋸齒波sawtooth_adder、遞減鋸齒波sawtooth_minus、三角波triangle、方波square、4選1數(shù)據(jù)選擇器mux41)封裝

51、成為模塊文件,供頂層電路調(diào)用??梢缘玫揭韵马攲游募娐罚?lt;/p><p>  圖5-4 系統(tǒng)頂層電路圖</p><p><b>  6系統(tǒng)仿真</b></p><p>  通過(guò)選擇不同的sel值,可以實(shí)現(xiàn)不同的波形輸出。仿真中reset復(fù)位信號(hào)一直置高電平,即沒(méi)有仿真復(fù)位情況。</p><p>  輸入的選擇信號(hào)為1時(shí),

52、信號(hào)發(fā)生器頂層電路的仿真輸出波形為遞增鋸齒波。輸出的波形線性遞增。</p><p>  圖6-1 遞增鋸齒波仿真波形</p><p>  輸入的選擇信號(hào)為2時(shí),信號(hào)發(fā)生器頂層電路的仿真輸出波形為遞減鋸齒波。輸出的波形線性遞減。</p><p>  圖6-2 遞減鋸齒波仿真波形</p><p>  輸入的選擇信號(hào)為3時(shí),信號(hào)發(fā)生器頂層電路的仿

53、真輸出波形為三角波。輸出的波形線性減小到最小值0后,再線性增加。</p><p>  圖6-3 三角波仿真波形</p><p>  輸入的選擇信號(hào)為4時(shí),信號(hào)發(fā)生器頂層電路的仿真輸出波形為方波。輸出的波形為周期性的全0或全1。(在仿真中,只有將CLK信號(hào)頻率取得很高的時(shí)候,才可以清晰地看出仿真效果。)</p><p>  圖6-4 方波仿真波形</p>

54、<p><b>  7 設(shè)計(jì)總結(jié)</b></p><p><b>  7.1 小結(jié)</b></p><p>  FPGA是當(dāng)前數(shù)字系統(tǒng)設(shè)計(jì)領(lǐng)域比較火熱的一種工具,它可以大大縮短設(shè)計(jì)需要的時(shí)間,降低成本的同時(shí)也提高了系統(tǒng)的穩(wěn)定性。使用VHDL語(yǔ)言描述硬件系統(tǒng)使得FPGA技術(shù)有了更廣闊的應(yīng)用領(lǐng)域。本設(shè)計(jì)使用了基于Altera公司的FPGA

55、系列,采用Altera公司提供的系統(tǒng)開(kāi)發(fā)工具Quartus II軟件進(jìn)行了系統(tǒng)的設(shè)計(jì)和仿真。數(shù)字信號(hào)發(fā)生器在實(shí)驗(yàn)室以及工業(yè)場(chǎng)所都被大量使用著,本系統(tǒng)即實(shí)現(xiàn)了可以輸出四種波形的簡(jiǎn)易多功能波形發(fā)生器,仿真結(jié)果證明,該設(shè)計(jì)正確有效,可以作為制作實(shí)物的理論依據(jù)。但由于設(shè)計(jì)者能力有限,本系統(tǒng)仍然有著很多可改進(jìn)的地方,比如可以升級(jí)為輸出頻率、幅值可調(diào)的波形,或者輸出任意波形以更貼近實(shí)際情況。</p><p><b>

56、;  7.2 心得體會(huì)</b></p><p>  對(duì)FPGA一直都有著濃厚的興趣,借做課設(shè)的機(jī)會(huì),認(rèn)真的研究了一下這門(mén)科學(xué)。發(fā)現(xiàn)FPGA技術(shù)比我想象中的要有很大的難度。里面有很多的思想來(lái)源于信息電子技術(shù)里面的基本知識(shí),包括門(mén)電路的概念以及寄存器傳送的基本知識(shí)。VHDL語(yǔ)言與C語(yǔ)言有很大的不同,但是C語(yǔ)言的編程思想也可以移植到VHDL語(yǔ)言中,尤其是一些邏輯算法的設(shè)計(jì),需要有很強(qiáng)的C語(yǔ)言編程功底。學(xué)習(xí)一

57、門(mén)知識(shí)要從最基本的體系架構(gòu)開(kāi)始,倘若一開(kāi)始就從頂層設(shè)計(jì)入手,就會(huì)造成很多基本原理、基本概念上的偏差,甚至?xí)涎訉W(xué)習(xí)設(shè)計(jì)的時(shí)間,事倍功半。雖然課設(shè)完成了,但是我意識(shí)到,我對(duì)FPGA技術(shù)僅僅只是停留在入門(mén)的階段,想要有更大的發(fā)展,更深入的研究,還需要更多的努力與實(shí)踐。</p><p><b>  參考文獻(xiàn)</b></p><p>  [1] 蔣小燕,俞偉鈞.EDA技術(shù)及V

58、HDL.南京:東南大學(xué)出版社.2008年12月.</p><p>  [2] 李國(guó)麗,朱維勇.EDA與數(shù)字系統(tǒng)設(shè)計(jì).北京:機(jī)械工業(yè)出版.2005年3月.</p><p>  [3] 江國(guó)強(qiáng).EDA技術(shù)與實(shí)驗(yàn).北京:電子工業(yè)出版社.2005年1月.</p><p>  [4] 歐偉明.基于MCU、FPGA、RTOS的電子系統(tǒng)設(shè)計(jì)方法與實(shí)例.北京:北京航空航天大學(xué)出版社

59、.2007年4月 .</p><p>  [5] Charles H.Roth,Jr、Lizy Kurian John著,金明錄譯.數(shù)字系統(tǒng)設(shè)計(jì)與VHDL.北京:電子工業(yè)出版社.2008年8月</p><p><b>  附錄</b></p><p>  附錄一:遞增鋸齒波程序</p><p>  library iee

60、e;</p><p>  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_arith.all;</p><p>  use ieee.std_logic_unsigned.all; --程序包</p><p>  entity sawtooth_adder is&l

61、t;/p><p>  port(clk,reset:in std_logic;--定義clk、reset為輸入信號(hào)</p><p>  q:out std_logic_vector(7 downto 0));--定義q為輸出信號(hào)</p><p>  end sawtooth_adder; --實(shí)體</p><p>  

62、architecture behav of sawtooth_adder is</p><p><b>  begin</b></p><p>  process(clk,reset)--定義進(jìn)程</p><p>  variable tmp:std_logic_vector(7 downto 0);--定義變量,8位<

63、/p><p><b>  begin</b></p><p>  if reset='0'then--如果復(fù)位信號(hào)為0,tmp為0</p><p>  tmp:="00000000";</p><p>  elsif rising_edge(clk) then

64、--捕捉時(shí)鐘上升沿</p><p>  if tmp="11111111"then--如果tmp遞增至最大,增歸零</p><p>  tmp:="00000000";</p><p>  else --否則,tmp繼續(xù)遞增</p><p>  tmp:=tmp+1;</p

65、><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  q<=tmp;--q等于變量tmp</p><p>  end process;--進(jìn)程結(jié)束</p><p>  en

66、d behav;--結(jié)構(gòu)體結(jié)束</p><p>  附錄二:遞減鋸齒波程序</p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_unsigned.all;</p><p&

67、gt;  entity sawtooth_minus is</p><p>  port(clk,reset:in std_logic;</p><p>  q:out std_logic_vector(7 downto 0));</p><p>  end sawtooth_minus;</p><p>  architecture beh

68、av of sawtooth_minus is</p><p><b>  begin</b></p><p>  process(clk,reset)</p><p>  variable tmp:std_logic_vector(7 downto 0);</p><p><b>  begin</b&

69、gt;</p><p>  if reset='0'then</p><p>  tmp:="11111111";</p><p>  elsif rising_edge(clk) then</p><p>  if tmp="00000000"then</p><p

70、>  tmp:="11111111";</p><p><b>  else </b></p><p>  tmp:=tmp-1;</p><p><b>  end if;</b></p><p><b>  end if;</b></p>

71、;<p><b>  q<=tmp;</b></p><p>  end process;</p><p>  end behav;</p><p><b>  附錄三:三角波程序</b></p><p>  library ieee;</p><p> 

72、 use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_unsigned.all;--程序包</p><p>  entity triangle is--定義三角波實(shí)體</p><p>  port(clk,reset:in std_logic;</p&

73、gt;<p>  q:out std_logic_vector(7 downto 0));</p><p>  end triangle;</p><p>  architecture behav of triangle is--定義三角波結(jié)構(gòu)體</p><p><b>  begin</b></p>

74、<p>  process(clk,reset)--進(jìn)程開(kāi)始</p><p>  variable tmp:std_logic_vector(7 downto 0);--定義中間變量tmp、a</p><p>  variable a:std_logic;</p><p><b>  begin</b>

75、</p><p>  if reset='0'then--復(fù)位信號(hào)設(shè)置</p><p>  tmp:="00000000";</p><p>  elsif rising_edge(clk) then--捕捉時(shí)鐘信號(hào)上升沿</p><p>  if a='0&#

76、39;then--a=0時(shí)依次輸出三角波上升沿</p><p>  if tmp="11111110"then</p><p>  tmp:="11111111";</p><p><b>  a:='1';</b></p><p><b&g

77、t;  else </b></p><p>  tmp:=tmp+1;</p><p><b>  end if;</b></p><p>  else--a=1時(shí)依次輸出三角波下降沿</p><p>  if tmp="00000001"then</p>

78、<p>  tmp:="00000000";</p><p><b>  a:='0';</b></p><p><b>  else </b></p><p>  tmp:=tmp-1;</p><p><b>  end if;<

79、/b></p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  q<=tmp;--輸出信號(hào)q=tmp</p><p>  end process;</p><p>  en

80、d behav;--結(jié)構(gòu)體結(jié)束</p><p><b>  附錄四:方波程序</b></p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_unsigned.all;

81、</p><p>  entity square is</p><p>  port(clk,reset: in std_logic;</p><p>  q:out std_logic_vector(7 downto 0));</p><p>  end square;</p><p>  architecture

82、behav of square is</p><p>  signal a:std_logic;</p><p><b>  begin</b></p><p>  process(clk,reset)</p><p>  variable tmp:std_logic_vector(7 downto 0);</p&

83、gt;<p><b>  begin</b></p><p>  if reset='0'then</p><p><b>  a<='0';</b></p><p>  elsif rising_edge(clk) then</p><p>  

84、if tmp="11111111"then</p><p>  tmp:="00000000";</p><p>  else tmp:=tmp+1;</p><p><b>  end if;</b></p><p>  if tmp<="10000000&quo

85、t;then</p><p><b>  a<='1';</b></p><p><b>  else</b></p><p><b>  a<='0';</b></p><p><b>  end if;</b>

86、;</p><p><b>  end if;</b></p><p>  end process;</p><p>  process(clk,a)</p><p><b>  begin </b></p><p>  if rising_edge(clk)then<

87、/p><p>  if a='1' then</p><p>  q<="11111111";</p><p><b>  else</b></p><p>  q<="00000000";</p><p><b>  en

88、d if;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  end behav;</p><p>  附錄五:四選一數(shù)據(jù)選擇器程序</p><p>  library ieee;</p><

89、;p>  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_unsigned.all;--程序包</p><p>  entity mux41 is--定義實(shí)體</p><p>  port(sel:in std_logic_vector(2 downto 0);

90、--信號(hào)選擇端口sel</p><p>  d1,d2,d3,d4: in std_logic_vector(7 downto 0);--d1d2d3d4分別連接四個(gè)波形發(fā)生模塊</p><p>  q: out std_logic_vector(7 downto 0));--定義輸出信號(hào)端口</p><p>  end mux41;</p&

91、gt;<p>  architecture behav of mux41 is--結(jié)構(gòu)體</p><p><b>  begin</b></p><p>  process(sel)</p><p><b>  begin</b></p><p>  ca

92、se sel is--case when語(yǔ)句進(jìn)行信號(hào)位的選擇</p><p>  when "001"=>q<=d1;</p><p>  when "010"=>q<=d2;</p><p>  when "011"=>q<=d3;</p>

93、;<p>  when "100"=>q<=d4;</p><p>  when others=>null;</p><p><b>  end case;</b></p><p>  end process;--進(jìn)程結(jié)束</p><p>  end

94、behav;--結(jié)構(gòu)體結(jié)束</p><p>  附件1: 大學(xué)本科畢業(yè)論文(設(shè)計(jì))工作程序</p><p>  注:1.提前或推延進(jìn)行畢業(yè)論文(設(shè)計(jì))的,各階段要求相同,日程自定;</p><p>  2.畢業(yè)論文(設(shè)計(jì))工作三個(gè)階段時(shí)間安排,可根據(jù)各專(zhuān)業(yè)特點(diǎn)適當(dāng)調(diào)整。

95、 </p><p>  附件2: 大學(xué)本科畢業(yè)論文(設(shè)計(jì))撰寫(xiě)規(guī)范</p><p>  一、畢業(yè)論文(設(shè)計(jì))文本結(jié)構(gòu)</p><p>  畢業(yè)論文(設(shè)計(jì))主要由8個(gè)部分組成:①封面;②目錄;③題目;④中外文摘要;⑤正文;⑥參考文獻(xiàn);⑦謝辭;⑧附錄。</p><p>  二、畢業(yè)論文(設(shè)計(jì))各部分規(guī)范</p>&

96、lt;p><b>  1. 封面</b></p><p>  封面按學(xué)校規(guī)定的格式填寫(xiě),包括論文(設(shè)計(jì))題目、作者姓名、指導(dǎo)教師姓名、學(xué)科專(zhuān)業(yè)等內(nèi)容。</p><p><b>  2. 目錄</b></p><p>  目錄由畢業(yè)論文(設(shè)計(jì))各部分內(nèi)容的順序號(hào)、名稱(chēng)和頁(yè)碼組成,目次中的內(nèi)容一般列出二級(jí)標(biāo)題即可。目錄應(yīng)

97、該用“…………”連接名稱(chēng)與頁(yè)碼。</p><p><b>  3. 題目</b></p><p>  論文(設(shè)計(jì))題目要恰當(dāng)、簡(jiǎn)明、凝練,能夠反映論文的主題及其內(nèi)容,做到文、題貼切。題目中不使用非規(guī)范的縮略詞、符號(hào)、代號(hào)和公式,通常不采用問(wèn)話的方式。題目所使用的詞語(yǔ)應(yīng)當(dāng)考慮到有助于選擇關(guān)鍵詞和編制題錄、索引等。</p><p>  題目的中文字

98、數(shù)一般不超過(guò)20個(gè)字,外文題目不超過(guò)10個(gè)實(shí)詞,中外文標(biāo)題應(yīng)一致,居中編排格式。</p><p>  4. 中外文摘要及關(guān)鍵詞  摘要是對(duì)畢業(yè)論文(設(shè)計(jì))內(nèi)容不加注釋和評(píng)論的簡(jiǎn)短陳述。摘要主要是說(shuō)明研究工作的目的、方法、結(jié)果和結(jié)論。摘要應(yīng)具有獨(dú)立性和自含性,即不閱讀全文,就能獲得畢業(yè)論文(設(shè)計(jì))必要的信息,使讀者確定有無(wú)必要閱讀全文。摘要中應(yīng)用第三人稱(chēng)的方法記述論文的性質(zhì)和主題,不使用“本文”、“作者”等作為主

99、語(yǔ),應(yīng)采用“對(duì)…進(jìn)行了研究”、“報(bào)告了…現(xiàn)狀”、“進(jìn)行了…調(diào)查”等表達(dá)方式。排除在本學(xué)科領(lǐng)域已成為常識(shí)的內(nèi)容,不得重復(fù)題目中已有的信息。語(yǔ)句要合乎邏輯關(guān)系,盡量同正文的文體保持一致。結(jié)構(gòu)要嚴(yán)謹(jǐn),表達(dá)要簡(jiǎn)明,語(yǔ)義要確切,一般不再分段落。對(duì)某些縮略語(yǔ)、簡(jiǎn)稱(chēng)、代號(hào)等,除了相鄰專(zhuān)業(yè)的讀者也能清楚理解的以外,在首次出現(xiàn)處必須加以說(shuō)明。摘要中通常不用圖表、化學(xué)結(jié)構(gòu)式以及非公知公用的符號(hào)和術(shù)語(yǔ)。</p><p>  畢業(yè)論文(

100、設(shè)計(jì))的摘要包含中文摘要和外文摘要。中文摘要字?jǐn)?shù)約為200~300字,外文摘要約為200~300個(gè)實(shí)詞。</p><p>  關(guān)鍵詞(Keywords)是為了文獻(xiàn)標(biāo)引,從《漢語(yǔ)主題詞表》或論文中選取出來(lái),用以表示全文主題內(nèi)容信息的詞語(yǔ)或術(shù)語(yǔ)。關(guān)鍵詞不宜用非通用的代號(hào)和分子式。</p><p>  關(guān)鍵詞一般為3~6個(gè)。關(guān)鍵詞的排序,通常應(yīng)按研究的對(duì)象、性質(zhì)(問(wèn)題)和采取的手段排序。中文關(guān)鍵

101、詞兩詞之間應(yīng)留出一個(gè)漢字的空間,不加任何標(biāo)點(diǎn)符號(hào);外文關(guān)鍵詞之間用分號(hào)隔開(kāi)。</p><p><b>  5. 正文</b></p><p>  畢業(yè)論文(設(shè)計(jì))的正文包括前言(引言)、正文、結(jié)論三個(gè)部分。外語(yǔ)類(lèi)專(zhuān)業(yè)畢業(yè)生必須用所學(xué)專(zhuān)業(yè)外語(yǔ)撰寫(xiě)。</p><p>  畢業(yè)論文(設(shè)計(jì))的篇幅一般6000字左右。</p><p&

102、gt;<b>  (1)前言(引言)</b></p><p>  前言(引言)主要說(shuō)明研究工作的目的、范圍,對(duì)前人的研究狀況進(jìn)行評(píng)述分析,闡明研究設(shè)想、研究方法、實(shí)驗(yàn)設(shè)計(jì)、預(yù)期結(jié)果、成果的意義等。</p><p><b>  (2)正文</b></p><p>  正文是對(duì)研究工作與研究?jī)?nèi)容的詳細(xì)表述,一般由標(biāo)題、文字、表

103、格或公式等部分組成。該部分要運(yùn)用選定的研究方法分析問(wèn)題、論證觀點(diǎn),盡量反映出研究能力和學(xué)術(shù)水平。正文是畢業(yè)論文(設(shè)計(jì))的核心部分,占據(jù)主要篇幅。</p><p>  正文是論文的主體,要求觀點(diǎn)清晰、論點(diǎn)正確、論據(jù)可靠、論證嚴(yán)密、層次清楚。</p><p>  正文中的圖表和計(jì)量單位要規(guī)范。圖須有序號(hào)、圖題、圖例、量和單位,圖序號(hào)須用阿拉伯?dāng)?shù)字,與圖題空 1 格,寫(xiě)在圖下方;表格采用 3線表

104、,表頭線和表尾線為粗黑線,表兩邊不要串寫(xiě)文字,表序號(hào)須用阿拉伯?dāng)?shù)字,與標(biāo)題空 1格,寫(xiě)在表上方;一律使用法定計(jì)量單位。</p><p><b>  (3)結(jié)論</b></p><p>  結(jié)論是對(duì)整個(gè)研究工作進(jìn)行歸納和總結(jié)。結(jié)論應(yīng)當(dāng)準(zhǔn)確、完整、明確、精練。如不可能導(dǎo)出應(yīng)有的結(jié)論,也可以沒(méi)有結(jié)論而進(jìn)行必要的討論,闡述本課題研究中存在的問(wèn)題及進(jìn)一步開(kāi)展研究的建議。<

105、/p><p><b>  6. 謝辭(致謝)</b></p><p>  謝辭(致謝)是對(duì)給予各類(lèi)資助、指導(dǎo)和協(xié)助完成科研工作,以及提供各種條件的單位和個(gè)人表示的感謝。謝辭應(yīng)實(shí)事求是。</p><p><b>  7. 參考文獻(xiàn)</b></p><p>  文后參考文獻(xiàn),是論文的重要組成部分,按順序和規(guī)

106、定的格式列在正文之后。所列出的文獻(xiàn),應(yīng)當(dāng)是作者親自閱讀或引用過(guò)的,出處要翔實(shí),要進(jìn)行核實(shí)查對(duì)。所引用的文獻(xiàn)應(yīng)是公開(kāi)出版的刊物或著作,內(nèi)部刊物一般不引用。</p><p>  正文中參考文獻(xiàn)的標(biāo)注方法,是在引用文字(即所引用的詞組、句子、段落等)結(jié)束處的右上角標(biāo)出參考文獻(xiàn)序號(hào)。全文參考文獻(xiàn)的序號(hào)要按照從小到大的次序排列,某一文獻(xiàn)多次引用時(shí),要用同一序號(hào)標(biāo)出。</p><p>  文后參考文獻(xiàn)

107、的編寫(xiě)方式,是依正文中參考文獻(xiàn)序號(hào)的次序排列所有的參考文獻(xiàn),且一個(gè)參考文獻(xiàn)只能出現(xiàn)一次。</p><p><b>  8. 附錄</b></p><p>  凡不宜收入正文中而又有價(jià)值的內(nèi)容,可編入畢業(yè)論文的附錄中。附錄內(nèi)容主要包括:①正文中所使用公式的推導(dǎo)與證明過(guò)程;②使用的主要符號(hào)、單位、縮寫(xiě)、程序全文及其說(shuō)明等;③在正文中無(wú)法列出的實(shí)驗(yàn)數(shù)據(jù);④重復(fù)性數(shù)據(jù)圖表;⑤

108、調(diào)查問(wèn)卷等。</p><p>  (頂頭空2行)目 錄(4號(hào)黑體,居中)</p><p>  摘要……………………………………………………………………………………1</p><p>  關(guān)鍵詞…………………………………………………………………………………1</p><p>  Abstract………………………………………………………………

109、………………1</p><p>  Key words……………………………………………………………………………1</p><p>  引言(或緒論)………………………………………………………………………1</p><p>  1□材料與方法………………………………………………………………………Y</p><p>  1.1□材料 ……………

110、………………………………………………………………Y</p><p>  1.2□方法 ……………………………………………………………………………Y</p><p>  1.2.1□×××××…………………………………………………………………Y</p><p>  1.2.2□×××

111、15;×…………………………………………………………………Y</p><p>  1.2.3□×××××…………………………………………………………………Y</p><p>  1.2.4□×××××…………………………………………………………………Y</p><

112、;p>  2□××………………………………………………………………………………Y</p><p>  2.1□×××××……………………………………………………………………Y</p><p>  3□×××…………………………………………………………………………… Y</p>

113、;<p>  ……………………………………………………………(略)</p><p>  X ×××××(正文第X章)…………………………………………………………Y</p><p>  致謝……………………………………………………………………………………Y</p><p>  參考文獻(xiàn)………………………

114、………………………………………………………Y</p><p>  附錄A ××××(必要時(shí))………………………………………………………Y</p><p>  附錄B ××××(必要時(shí))………………………………………………………Y</p><p>  圖1 ××&

115、#215;×(必要時(shí))…………………………………………………………Y</p><p>  圖2 ××××(必要時(shí))…………………………………………………………Y</p><p>  表1 ××××(必要時(shí))……………………………………………………………Y</p><p>  

116、表2 ××××(必要時(shí))……………………………………………………………Y</p><p>  注:1. 目次中的內(nèi)容一般列出“章”、“節(jié)”、“條”三級(jí)標(biāo)題即可;</p><p>  2.X、Y表示具體的阿拉伯?dāng)?shù)字;</p><p>  畢業(yè)論文(設(shè)計(jì))題目(3號(hào)黑體)</p><p>  ×&

117、#215;××專(zhuān)業(yè)學(xué)生 學(xué)生姓名</p><p>  指導(dǎo)教師 指導(dǎo)教師姓名(小四仿宋體)</p><p>  摘要:××××××××××××××××××(200—300字,五號(hào)宋

118、體)×××××××××××××××××……</p><p>  關(guān)鍵詞:××× ×××× ××××× ×

119、15;×(3-5個(gè),五號(hào)宋體)</p><p>  Title(3號(hào)Times New Romar)</p><p>  Student majoring in ×××× Name</p><p>  Tutor Name(小四Times New Romar)</p><p> 

120、 Abstract: ××××××(五號(hào)Times New Romar,200—300個(gè)實(shí)詞)××××××××××××××××××××××……</p>

121、;<p>  Key words: ×××;××××;×××××;×××(3-5實(shí)詞個(gè),五號(hào)Times New Romar)</p><p>  引言×××××(小四宋體)××

122、15;××××××××××××××××××××××××××××××××××××&

123、#215;××××××××××××××××××××××××××××××××……。</p><p>

124、;  1 材料與方法 (仿宋體四號(hào))</p><p>  1.1 ××××××××××(黑體小四號(hào))</p><p>  ××××××(小四宋體)×××××××

125、;××××××××××××××××××××××××××××××××××××

126、15;。</p><p>  1.1.1 ××(仿宋體小四號(hào))×××× ×××××××××××××××××××××××

127、×××(小四宋體)××××××××××××××××××××××××××××××××

128、5;×××。</p><p>  1.1.2 ××(仿宋體小四號(hào))×××× ××××××××××××××××××××&

129、#215;×××××(小四宋體)××××××××××××××××××××××××××××××

130、;××××××。</p><p>  1.2 ××××××××××(黑體小四號(hào))……</p><p>  2 結(jié)果與分析 (仿宋體四號(hào))</p><p>  2.1 ×××

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論