2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩22頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、<p><b>  摘要</b></p><p>  在這個信息化高速發(fā)展的時代,單片機作為一種最經(jīng)典的微控制器,單片機技術(shù)已經(jīng)普及到我們生活,工作,科研,各個領(lǐng)域,已經(jīng)成為一種比較成熟的技術(shù),作為自動化專業(yè)的學(xué)生,我們學(xué)習(xí)了單片機,就應(yīng)該把它熟練應(yīng)用到生活之中來。本文將介紹一種基于單片機控制的數(shù)字溫度計,本溫度計屬于多功能溫度計,可以設(shè)置上下報警溫度,當(dāng)溫度不在設(shè)置范圍內(nèi)時,可以

2、報警。本文設(shè)計的數(shù)字溫度計具有讀數(shù)方便,測溫范圍廣,測溫精確,數(shù)字顯示,適用范圍寬等特點。</p><p>  關(guān)鍵詞:單片機,數(shù)字控制,數(shù)碼管顯示,溫度計,DS18B20,AT89S52。</p><p><b>  目錄</b></p><p><b>  1概述5</b></p><p>&

3、lt;b>  1.1設(shè)計目的5</b></p><p><b>  1.2設(shè)計原理5</b></p><p><b>  1.3設(shè)計難點5</b></p><p>  2 系統(tǒng)總體方案及硬件設(shè)計5</p><p>  2.1數(shù)字溫度計設(shè)計方案論證5</p>

4、<p>  2.2.1 主控制器6</p><p>  2.4 系統(tǒng)整體硬件電路設(shè)計10</p><p>  3系統(tǒng)軟件設(shè)計12</p><p>  3.1初始化程序12</p><p>  3.2讀出溫度子程序13</p><p>  3.3讀、寫時序子程序14</p><p

5、>  3.4 溫度處理子程序16</p><p>  3.5 顯示程序17</p><p>  3.6延時程序18</p><p>  4 Proteus軟件仿真19</p><p>  5課程設(shè)計體會21</p><p><b>  附錄1:22</b></p>

6、<p><b>  附錄2:27</b></p><p><b>  1概述</b></p><p><b>  1.1設(shè)計目的</b></p><p>  隨著人們生活水平的不斷提高,單片機控制無疑是人們追求的目標(biāo)之一,它所給人帶來的方便也是不可否定的,其中數(shù)字溫度計就是一個典型的例子,

7、但人們對它的要求越來越高,要為現(xiàn)代人工作、科研、生活、提供更好的更方便的設(shè)施就需要從數(shù)單片機技術(shù)入手,一切向著數(shù)字化控制,智能化控制方向發(fā)展。本設(shè)計所介紹的數(shù)字溫度計與傳統(tǒng)的溫度計相比,具有讀數(shù)方便,測溫范圍廣,測溫準(zhǔn)確,其輸出溫度采用數(shù)字顯示,主要用于對測溫比較準(zhǔn)確的場所,或科研實驗室使用,可廣泛用于食品庫、冷庫、糧庫、溫室大棚等需要控制溫度的地方。目前,該產(chǎn)品已在溫控系統(tǒng)中得到廣泛的應(yīng)用。</p><p>

8、<b>  1.2設(shè)計原理 </b></p><p>  本系統(tǒng)是一個基于單片機AT89S52的數(shù)字溫度計的設(shè)計,用來測量環(huán)境溫度,測量范圍為-50℃—110℃度。整個設(shè)計系統(tǒng)分為4部分:單片機控制、溫度傳感器、數(shù)碼顯示以及鍵盤控制電路。整個設(shè)計是以AT89S52為核心,通過數(shù)字溫度傳感器DS18B20來實現(xiàn)環(huán)境溫度的采集和A/D轉(zhuǎn)換,同時因其輸出為數(shù)字形式,且為串行輸出,這就方便了單片機進

9、行數(shù)據(jù)處理,但同時也對編程提出了更高的要求。單片機把采集到的溫度進行相應(yīng)的轉(zhuǎn)換后,使之能夠方便地在數(shù)碼管上輸出。LED采用四位一體共陰的數(shù)碼管。</p><p><b>  1.3設(shè)計難點 </b></p><p>  此設(shè)計的重點在于編程,程序要實現(xiàn)溫度的采集、轉(zhuǎn)換、顯示和上下限溫度報警,其外圍電路所用器件較少,相對簡單,實現(xiàn)容易。</p><

10、p>  2 系統(tǒng)總體方案及硬件設(shè)計</p><p>  2.1數(shù)字溫度計設(shè)計方案論證由于本設(shè)計是測溫電路,可以使用熱敏電阻之類的器件利用其感溫效應(yīng),在將隨被測溫度變化的電壓或電流采集過來,進行A/D轉(zhuǎn)換后,就可以用單片機進行數(shù)據(jù)的處理,在顯示電路上,就可以將被測溫度顯示出來,這種設(shè)計需要用到A/D轉(zhuǎn)換電路,感溫電路比較麻煩。進而考慮到用溫度傳感器,在單片機電路設(shè)計中,大多都是使用傳感器,所以這是非常容易想

11、到的,所以可以采用一只溫度傳感器DS18B20,此傳感器,可以很容易直接讀取被測溫度值,進行轉(zhuǎn)換,就可以滿足設(shè)計要求。2.2總體設(shè)計框圖溫度計電路設(shè)計總體設(shè)計方框圖如圖1所示,控制器采用單片機AT89S52,溫度傳感器采用DS18B20,用4位共陽LED數(shù)碼管以串口傳送數(shù)據(jù)實現(xiàn)溫度顯示。</p><p>  圖1 總體設(shè)計框圖</p><p>  2.2.1 主控制器單片機AT89

12、S52具有低電壓供電和體積小等特點,四個端口只需要兩個口就能滿足電路系統(tǒng)的設(shè)計需要,適合便攜手持式產(chǎn)品的設(shè)計使用。</p><p>  AT89S52單片機芯片具有以下特性:</p><p>  1)指令集合芯片引腳與Intel公司的8052兼容;</p><p>  2)4KB片內(nèi)在系統(tǒng)可編程FLASH程序存儲器;</p><p>  3)

13、時鐘頻率為0~33MHZ;</p><p>  4)128字節(jié)片內(nèi)隨機讀寫存儲器(RAM);</p><p>  5)6個中斷源,2級優(yōu)先級;</p><p>  6)2個16位定時/記數(shù)器;</p><p>  7)全雙工串行通信接口;</p><p><b>  8)監(jiān)視定時器;</b><

14、;/p><p>  9)兩個數(shù)據(jù)指針;2.2.2 顯示電路顯示電路采用4位共陰LED數(shù)碼管,從P0口輸出段碼,P2.0—P2.3作片選端。但在焊電路板的時候發(fā)現(xiàn)數(shù)碼管亮度不夠,所以在P2.0—P2.3端口接四個10K的電阻和四個NPN的三極管,以使數(shù)碼管高亮顯示。2.2.3溫度傳感器DS18B20溫度傳感器是美國DALLAS半導(dǎo)體公司推出的一種改進型智能溫度傳感器,與傳統(tǒng)的熱敏電阻等測溫元件相比,它能直接讀出

15、被測溫度,并且可根據(jù)實際要求通過簡單的編程實現(xiàn)9-12位的數(shù)字值讀數(shù)方式。DS18B20的性能特點如下:●獨特的單線接口僅需要一個端口引腳進行通信,無須經(jīng)過其它變換電路;●多個DS18B20可以并聯(lián)在惟一的三線上,實現(xiàn)多點組網(wǎng)功能;●內(nèi)含64位經(jīng)過激光修正的只讀存儲器ROM;●可通過數(shù)據(jù)線供電,內(nèi)含寄生電源,電壓范圍為3.0~5.5V;●零待機功耗;●溫度以9或12位數(shù)字;●用戶可定義報警設(shè)置; ●報警搜索命令識別并標(biāo)志

16、超過程序限定溫度(溫度報警條件)的器件;●負(fù)電壓特性,電源極性接反時,溫度計不會因發(fā)熱而燒毀,但不能正常工作;●測溫范圍為-55℃-+125℃,測量分辨率為0</p><p>  圖2 DS18B20內(nèi)部結(jié)構(gòu)</p><p>  64位ROM的結(jié)構(gòu)開始8位是產(chǎn)品類型的編號,接著是每個器件的惟一的序號,共有48位,最后8位是前面56位的CRC檢驗碼,這也是多個DS18B20可以采用一線進

17、行通信的原因。溫度報警觸發(fā)器TH和TL,可通過軟件寫入用戶報警上下限。DS18B20溫度傳感器的內(nèi)部存儲器還包括一個高速暫存RAM和一個非易失性的可電擦除的EERAM。高速暫存RAM的結(jié)構(gòu)為8字節(jié)的存儲器,結(jié)構(gòu)如圖3所示。頭2個字節(jié)包含測得的溫度信息,第3和第4字節(jié)TH和TL的拷貝,是易失的,每次上電復(fù)位時被刷新。第5個字節(jié),為配置寄存器,它的內(nèi)容用于確定溫度值的數(shù)字轉(zhuǎn)換分辨率。DS18B20工作時寄存器中的分辨率轉(zhuǎn)換為相應(yīng)精度的溫度

18、數(shù)值。該字節(jié)各位的定義如圖3所示。低5位一直為1,TM是工作模式位,用于設(shè)置DS18B20在工作模式還是在測試模式,DS18B20出廠時該位被設(shè)置為0,用戶要去改動,R1和R0決定溫度轉(zhuǎn)換的精度位數(shù),來設(shè)置分辨率。</p><p>  圖3 DS18B20字節(jié)定義</p><p>  由下面表1可見,DS18B20溫度轉(zhuǎn)換的時間比較長,而且分辨率越高,所需要的溫度數(shù)據(jù)轉(zhuǎn)換時間越長。因此,

19、在實際應(yīng)用中要將分辨率和轉(zhuǎn)換時間權(quán)衡考慮。高速暫存RAM的第6、7、8字節(jié)保留未用,表現(xiàn)為全邏輯1。第9字節(jié)讀出前面所有8字節(jié)的CRC碼,可用來檢驗數(shù)據(jù),從而保證通信數(shù)據(jù)的正確性。當(dāng)DS18B20接收到溫度轉(zhuǎn)換命令后,開始啟動轉(zhuǎn)換。轉(zhuǎn)換完成后的溫度值就以16位帶符號擴展的二進制補碼形式存儲在高速暫存存儲器的第1、2字節(jié)。單片機可以通過單線接口讀出該數(shù)據(jù),讀數(shù)據(jù)時低位在先,高位在后,數(shù)據(jù)格式以0.0625℃/LSB形式表示。當(dāng)符號位

20、S=0時,表示測得的溫度值為正值,可以直接將二進制位轉(zhuǎn)換為十進制;當(dāng)符號位S=1時,表示測得的溫度值為負(fù)值,要先將補碼變成原碼,再計算十進制數(shù)值。表2是一部分溫度值對應(yīng)的二進制溫度數(shù)據(jù)。</p><p>  表1 DS18B20溫度轉(zhuǎn)換時間表</p><p>  DS18B20完成溫度轉(zhuǎn)換后,就把測得的溫度值與RAM中的TH、TL字節(jié)內(nèi)容作比較。若T>TH或T<TL,則將該器件內(nèi)的報警標(biāo)

21、志位置位,并對主機發(fā)出的報警搜索命令作出響應(yīng)。因此,可用多只DS18B20同時測量溫度并進行報警搜索。 在64位ROM的最高有效字節(jié)中存儲有循環(huán)冗余檢驗碼(CRC)。主機ROM的前56位來計算CRC值,并和存入DS18B20的CRC值作比較,以判斷主機收到的ROM數(shù)據(jù)是否正確。 DS18B20的測溫原理是這這樣的,器件中低溫度系數(shù)晶振的振蕩頻率受溫度的影響很小,用于產(chǎn)生固定頻率的脈沖信號送給減法計數(shù)器1;高溫度系數(shù)晶振隨

22、溫度變化其振蕩頻率明顯改變,所產(chǎn)生的信號作為減法計數(shù)器2的脈沖輸入。器件中還有一個計數(shù)門,當(dāng)計數(shù)門打開時,DS18B20就對低溫度系數(shù)振蕩器產(chǎn)生的時鐘脈沖進行計數(shù)進而完成溫度測量。計數(shù)門的開啟時間由高溫度系數(shù)振蕩器來決定,每次測量前,首先將-55℃所對應(yīng)的一個基數(shù)分別置入減法計數(shù)器1、溫度寄存器中,計數(shù)器1和溫度寄存器被預(yù)置在-55℃所對應(yīng)的一個基數(shù)值。減法計數(shù)器1對低溫度系數(shù)晶振產(chǎn)生的脈沖信號進行減法計數(shù),當(dāng)減法計數(shù)器1的預(yù)置<

23、;/p><p>  表2 一部分溫度對應(yīng)值表</p><p>  2.3 DS18B20溫度傳感器與單片機的接口電路</p><p>  圖4 DS18B20與單片機的接口電路</p><p>  DS18B20可以采用兩種方式供電,一種是采用電源供電方式,此時DS18B20的1腳接地,2腳作為信號線,3腳接電源。另一種是寄生電源供電方式,如圖

24、4 所示單片機端口接單線總線,為保證在有效的DS18B20時鐘周期內(nèi)提供足夠的電流,可用一個MOSFET管來完成對總線的上拉,多個DS18B20可以將2口串接到一條總線上,而本設(shè)計只用了一個DS18B20。當(dāng)DS18B20處于寫存儲器操作和溫度A/D轉(zhuǎn)換操作時,總線上必須有強的上拉,上拉開啟時間最大為10us。采用寄生電源供電方式時VDD端接地。由于單線制只有一根線,因此發(fā)送接口必須是三態(tài)的。</p><p>

25、;  2.4 系統(tǒng)整體硬件電路設(shè)計2.4.1 主板電路 系統(tǒng)整體硬件電路包括,傳感器數(shù)據(jù)采集電路,溫度顯示電路,上下限報警調(diào)整電路,單片機主板電路等,單片機主板電路如圖5 所示:</p><p>  圖5 單片機主板電路</p><p>  圖5 中包括時鐘振蕩電路和按鍵復(fù)位電路,按鍵復(fù)位電路是上電復(fù)位加手動復(fù)位,使用比較方便,在程序跑飛時,可以手動復(fù)位,這樣就不用在重起單片機電源

26、,就可以實現(xiàn)復(fù)位。另外擴展電路中,蜂鳴器可以在被測溫度不在上下限范圍內(nèi)時,發(fā)出報警鳴叫聲音,同時LED數(shù)碼管將沒有被測溫度值顯示,這時可以調(diào)整報警上下限,從而測出被測的溫度值。2.4.2 顯示電路 顯示電路是使用的串口顯示,這種顯示最大的優(yōu)點就是使用口資源比較少,只用P0和P3口,串口的發(fā)送和接收,采用4位共陰LED數(shù)碼管,從P0口輸出段碼,P2.0—P2.3作片選端。但在焊電路板的時候發(fā)現(xiàn)數(shù)碼管亮度不夠,所以在P2.0—P2

27、.3端口接四個10K的電阻和四個NPN的三極管,期望增加驅(qū)動電流,以使數(shù)碼管高亮顯示。</p><p><b>  圖6 溫度顯示電路</b></p><p>  3系統(tǒng)軟件設(shè)計系統(tǒng)程序主要包括主程序,讀出溫度子程序,溫度轉(zhuǎn)換命令子程序,計算溫度子程序,顯示數(shù)據(jù)刷新子程序等。</p><p>  3.1復(fù)位初始化程序</p>

28、<p><b>  、</b></p><p>  圖7 初始化程序流程圖</p><p>  3.2讀出溫度子程序讀出溫度子程序的主要功能是讀出RAM中的2字節(jié),讀出溫度的低八位和高八位,其程序流程圖如圖8示</p><p>  圖8 讀溫度程序流程圖</p><p><b>  3.3寫時序子程

29、序</b></p><p>  讀寫的程序是本次設(shè)計中的重點和難點,通過我們對其時序的分析,從而寫出高效的程序。</p><p>  圖9 寫時序子程序流程圖 </p><p>  3.4 溫度處理子程序計算溫度子程序?qū)AM中讀取值進行BCD碼的轉(zhuǎn)換運算,最后存儲到定義好的存儲單元DIS_1,DIS_2,

30、DIS_3,DIS_4中。</p><p>  3.5 顯示程序此函數(shù)實現(xiàn)的對數(shù)碼管顯示的處理,其亮點在于可以直接對數(shù)碼管進行操作,其本身是個兩變量函數(shù),第一個變量是要開通的位選,第二個變量是要顯示的數(shù)據(jù),這樣我們可以直接方便而又簡單直觀的對數(shù)碼管進行操作。</p><p><b>  N</b></p><p><b>  Y&l

31、t;/b></p><p>  圖12 顯示數(shù)據(jù)刷新流程圖</p><p><b>  3.6延時程序</b></p><p>  為保證DS18B20的嚴(yán)格I/O時序,需要做較精確的延時。在DS18B20操作中,用到的延時有15 μs,90 μs,270 μs,540 μs。因這些延時均為15 μs的整數(shù)倍,因此可編寫一個DELAY15

32、(n)函數(shù)。</p><p><b>  DELAY:</b></p><p>  LOOP: MOV R1,#06H</p><p>  LOOP1: DJNZ R1,LOOP1</p><p>  DJNZ R0,LOOP</p><p><b>  RET</b&g

33、t;</p><p><b>  顯示延時</b></p><p><b>  DEL:</b></p><p>  MOVR6,#25H</p><p>  DEL1:MOVR7,#20H</p><p><b>  DJNZR7,$</b>&

34、lt;/p><p>  DJNZR6,DEL1</p><p><b>  RET</b></p><p>  4 Proteus軟件仿真</p><p><b>  5課程設(shè)計體會</b></p><p>  經(jīng)過將兩周的單片機課程設(shè)計,終于完成了我們的數(shù)字溫度計的設(shè)計,雖然

35、沒有完全達(dá)到設(shè)計要求,但從心底里說,還是高興的,畢竟這次設(shè)計把實物都做了出來,高興之余不得不深思呀! 在本次設(shè)計的過程中,我發(fā)現(xiàn)很多的問題,雖然以前還做過這樣的設(shè)計但這次設(shè)計真的讓我長進了很多,單片機課程設(shè)計重點就在于軟件算法的設(shè)計,需要有很巧妙的程序算法,雖然以前寫過幾次程序,但我覺的寫好一個程序并不是一件簡單的事,舉個例子,以前寫的那幾次,數(shù)據(jù)加減時,我用的都是BCD碼,這一次,我全部用的都是16進制的數(shù)直接加減,顯示處理時

36、在用對不同的位,求商或求余,感覺效果比較好。還有時序的問題,通過這次的設(shè)計我明白了時序才真正是數(shù)字芯片的靈魂,所有的程序我們都可以通過對其時序的理解來實現(xiàn)對其操作,同時體會到了單總線結(jié)構(gòu)的魅力。 從這次的課程設(shè)計中,我真真正正的意識到,在以后的學(xué)習(xí)中,要理論聯(lián)系實際,把我們所學(xué)的理論知識用到實際當(dāng)中,學(xué)習(xí)單機片機更是如此,程序只有在經(jīng)常的寫與讀的過程中才能提高,這就是我在這次課程設(shè)計中的最大收獲。</p><

37、p>  最重要的是本次設(shè)計是兩個人一組,讓我們有種組隊做單片機開發(fā)項目的感覺,畢竟一個項目只靠一個人是很難完成的,今后我們做的項目肯定要多人協(xié)作。在這次設(shè)計過程中培養(yǎng)了我們的團隊協(xié)作精神,便于我們走到工作崗位后能很快適應(yīng)工作環(huán)境。</p><p><b>  參考文獻</b></p><p>  [1]DS18b20數(shù)據(jù)手冊。</p><p&

38、gt;  [2] 求是科技編著8051系列單片機C程序設(shè)計完全手冊北京: 人民郵電出版社, 2006</p><p>  [3] 余發(fā)山,王福忠.單片機原理及應(yīng)用技術(shù).徐州:中國礦業(yè)大學(xué)出版社,2003</p><p><b>  附錄1:</b></p><p><b>  源程序代碼:</b></p>&

39、lt;p>  #include <reg52.h></p><p>  #include<intrins.h></p><p>  #include<math.h></p><p>  #define uchar unsigned char</p><p>  #define uint unsi

40、gned int</p><p>  #define duaP2</p><p>  #define max36</p><p>  //#define min 0</p><p>  sbit DQ=P1^7;</p><p>  sbit din=P0^7;</p><p>  

41、sbit beep=P3^0;</p><p>  /*uchar tab[]={0xc0,0xf9,0xa4,0xB0,0x99,</p><p>  //"0" "1" "2" "3""4";</p><p>  0x92,0x82,0xF8,0x80,0

42、x90,0xff,0xbf,0xc6}; //共陽; </p><p>  // "5" "6""7""8""9" "滅""-" 'c' */</p><p>  uchar tab[]={0x3f,0x

43、06,0x5b,0x4f,</p><p>  0x66,0x6d,0x7d,0x07,</p><p>  0x7f,0x6f,0x00,0x40}; </p><p>  uchar tab2[16]={0x00,0x01,0x01,0x02,0x03,0x03,0x04,0x04,</p><p>  0x05,0x06,0x06,

44、0x07,0x08,0x08,0x09,0x09};</p><p>  uchar d1,d2,ht,bai,b,shi,ge;</p><p><b>  uint tem;</b></p><p>  //近乎精確的短延時,采用標(biāo)準(zhǔn)庫里的_nop_()函數(shù),此函數(shù)一個延時為22微秒左右;</p><p>  vo

45、id delay15(uint n)</p><p><b>  { </b></p><p><b>  do</b></p><p><b>  {</b></p><p>  _nop_();_nop_();_nop_();_nop_();_nop_();_nop_();

46、_nop_();</p><p>  _nop_();_nop_();_nop_();_nop_();_nop_();_nop_();</p><p><b>  n--;</b></p><p><b>  }</b></p><p><b>  while(n);</b>&

47、lt;/p><p><b>  }</b></p><p>  //長延時,用于不太嚴(yán)格的延時</p><p>  void delay(uint z)</p><p><b>  {</b></p><p><b>  uint x,y;</b></

48、p><p>  for(x=z;x>0;x--)</p><p>  for(y=50;y>0;y--);</p><p><b>  }</b></p><p><b>  //初始化函數(shù)</b></p><p>  void init()</p>&

49、lt;p><b>  {</b></p><p>  uchar x=1;</p><p><b>  while(x)</b></p><p><b>  {</b></p><p><b>  DQ=1;</b></p><p

50、><b>  _nop_();</b></p><p><b>  DQ=0;</b></p><p>  delay15(23); //最小480us;</p><p><b>  DQ=1;</b></p><p>  delay15(2);//存在檢測高電平最小15

51、us; </p><p><b>  x=DQ;</b></p><p>  delay15(22);// 存在檢測低電平最小240us;</p><p><b>  x=~DQ;</b></p><p><b>  }</b></p><p>&

52、lt;b>  DQ=1; </b></p><p><b>  }</b></p><p>  void write(uchar dat)</p><p><b>  {</b></p><p><b>  uchar i;</b></p>&

53、lt;p>  for(i=8;i>0;i--)</p><p><b>  {</b></p><p><b>  DQ=1;</b></p><p>  _nop_();_nop_(); </p><p><b>  DQ=0;</b></p>&

54、lt;p>  DQ=dat&0x01;</p><p>  _nop_();_nop_();_nop_();_nop_();_nop_();</p><p>  delay15(3);</p><p><b>  dat>>=1;</b></p><p><b>  }</

55、b></p><p><b>  DQ=1;</b></p><p><b>  _nop_();</b></p><p><b>  }</b></p><p><b>  //讀一個字節(jié);</b></p><p><

56、b>  read()</b></p><p><b>  {</b></p><p><b>  uchar i;</b></p><p>  uchar dat=0;</p><p>  for(i=8;i>0;i--)</p><p><b&

57、gt;  {</b></p><p><b>  DQ=1;</b></p><p><b>  dat>>=1;</b></p><p><b>  _nop_();</b></p><p><b>  DQ=0;</b><

58、/p><p>  _nop_();_nop_();_nop_();_nop_();_nop_();_nop_();_nop_();</p><p>  _nop_();_nop_();_nop_();_nop_();_nop_();_nop_();_nop_();//十五微秒不變;</p><p><b>  DQ=1;</b></p>

59、<p>  _nop_();_nop_();_nop_();_nop_();</p><p><b>  if(DQ)</b></p><p>  dat|=0x80;</p><p>  delay15(3);</p><p><b>  }</b></p>&l

60、t;p><b>  DQ=1;</b></p><p>  return(dat);</p><p><b>  } </b></p><p><b>  //讀溫度函數(shù) </b></p><p><b>  readT()</b></p>

61、;<p><b>  {</b></p><p><b>  init();</b></p><p>  delay15(20);</p><p>  write(0xcc);</p><p>  write(0x44);</p><p>  delay15(9

62、00);//yanshi20ms</p><p><b>  init();</b></p><p>  write(0xcc);</p><p>  write(0xbe);</p><p>  d1=read();</p><p>  d2=read();</p><p&g

63、t;  ht=d2<<4; </p><p>  ht+=(d1&0xf0)>>4;</p><p><b>  }</b></p><p>  //顯示函數(shù),n,m可以實現(xiàn)對任意的管子賦值;</p><p>  //n為第幾位數(shù)碼管,m為送的數(shù)值;</p>

64、;<p>  void display(uchar n,uchar m)</p><p><b>  {</b></p><p>  uchar temp=0x01;//根據(jù)板子 的硬件 連接圖賦值;</p><p>  temp=_crol_(temp,n);</p><p>  dua=temp;

65、 //////////////</p><p>  P0=tab[m];</p><p><b>  delay(1);</b></p><p><b>  if(n==2)</b></p><p><b>  {</b></p><p>  din=1

66、;//根據(jù)數(shù)碼管的陰陽顯示選值;</p><p><b>  } </b></p><p>  delay15(50);</p><p>  dua=0x00;/////////////////</p><p><b>  }</b></p><p>  //溫度處理函數(shù)

67、,此函數(shù)先判斷正負(fù),對于讀取的兩個字節(jié),高字節(jié)的前五位是//符號位,高位的剩余三位和低字節(jié)的前四位為整數(shù)位,低字節(jié)的最后四位是</p><p><b>  //小數(shù)位</b></p><p>  work_temp()</p><p><b>  { </b></p><p>  uchar f

68、lag=0;</p><p>  if(ht>128) // 溫度值正負(fù)判斷 ;</p><p><b>  {</b></p><p>  ht=255-ht;</p><p>  d1=16-(d1&0x0f);</p><p><b>  fla

69、g=1;</b></p><p>  } // 負(fù)溫度求補碼,標(biāo)志位置1</p><p><b>  else</b></p><p><b>  d1&=0x0f;</b></p><p>  /*if(ht>50)</p><p&

70、gt;  {beep=1;} */</p><p>  bai=ht/100; //百位;</p><p><b>  b=ht%100;</b></p><p>  shi=b/10; //十位;</p><p>  ge=b%10; //個位;</p><p>  /****

71、**************顯示判斷**************************/</p><p><b>  if(!bai) </b></p><p><b>  {</b></p><p><b>  if(!shi)</b></p><p><b> 

72、 {</b></p><p>  display(0,10);</p><p>  display(1,10); //次高位為0時不顯示 ;</p><p><b>  }</b></p><p><b>  else</b></p><p>

73、  {display(1,shi);}</p><p><b>  }</b></p><p><b>  else</b></p><p><b>  {</b></p><p>  display(0,bai);</p><p>  display(

74、1,shi);</p><p><b>  }</b></p><p><b>  if(flag)</b></p><p><b>  {</b></p><p>  display(0,11);</p><p>  } //負(fù)溫度時最高位顯示&qu

75、ot;-"</p><p>  display(2,ge);</p><p>  display(3,tab2[d1]);</p><p><b>  } </b></p><p>  void main()</p><p><b>  { </b></p

76、><p><b>  while(1)</b></p><p><b>  {</b></p><p><b>  //beep=0;</b></p><p><b>  readT();</b></p><p>  work_temp

77、(); </p><p>  if((ht>max)|(ht<min))</p><p>  { beep=0;} </p><p><b>  }</b></p><p><b>  }</b></p><p><b>  附錄2:</

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論