2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩11頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、<p><b>  目錄</b></p><p>  摘要.............................................................1</p><p>  一 設(shè)計目的與要求..............................................2</p><p>

2、  二 設(shè)計原理.....................................................2</p><p>  2.1交通燈控制的系統(tǒng)構(gòu)成............................................3</p><p>  2.2交通燈控制器的電路控制原理框圖.................................3&

3、lt;/p><p>  2.3器件下載編程與硬件實現(xiàn).........................................4</p><p>  三 設(shè)計內(nèi)容......................................................4</p><p>  3.1設(shè)計步驟...........................

4、.............................5</p><p>  3.2交通燈控制器各模塊的程序..................................6 3.3軟件仿真結(jié)果....................................................9</p><p&

5、gt;  3.4硬件實現(xiàn)及調(diào)試結(jié)果.............................................10</p><p>  總結(jié)與致謝...............................................11</p><p>  參考文獻.................................................12&

6、lt;/p><p>  附錄..............................................................21</p><p><b>  摘要</b></p><p>  EDA是電子設(shè)計自動化(Electronic Design Automation)的縮寫,在20世紀90年代初從計算機輔助設(shè)計(C

7、AD)、計算機輔助制造(CAM)、計算機輔助測試(CAT)和計算機輔助工程(CAE)的概念發(fā)展而來的。EDA技術(shù)就是以計算機為工具,設(shè)計者在EDA軟件平臺上,用硬件描述語言HDL完成設(shè)計文件,然后由計算機自動地完成邏輯編譯、化簡、分割、綜合、優(yōu)化、布局、布線和仿真,直至對于特定目標芯片的適配編譯、邏輯映射和編程下載等工作。</p><p>  隨著社會經(jīng)濟的發(fā)展,城市交通問題越來越引起人們的關(guān)注。人、車、路三者關(guān)

8、系的協(xié)調(diào),已成為交通管理部門需要解決的重要問題之一。交通控制系統(tǒng)的適用場合主要是用于交通數(shù)據(jù)監(jiān)測、交通信號燈控制與交通疏導的計算機綜合管理系統(tǒng),它是現(xiàn)代交通監(jiān)控指揮系統(tǒng)中最重要的組成部分。</p><p>  關(guān)鍵字:EDA 交通燈 Verilog HDL QuartusII</p><p>  一 設(shè)計目的與要求</p><p>  (1) 主、支干道各設(shè)

9、有一個綠、黃、紅指示燈,兩個顯示數(shù)碼管。</p><p>  (2) 主、支道交替允許通行,主干道每次放行45 s,支干道每次放行25 s,在每次由亮綠燈變成亮紅燈的轉(zhuǎn)換過程中,要亮5 s的黃燈作為過渡,并進行減計時顯示。</p><p>  (3) 具有清零、可預(yù)置主、支干道通行時間功能。</p><p><b>  二 設(shè)計原理</b>&

10、lt;/p><p>  交通燈控制系統(tǒng)的作用主要是實現(xiàn)城市十字交叉路口紅綠燈的控制。在現(xiàn)代化的大城市中,十字交叉路口越來越多,在每個交叉路口都需要使用紅綠燈進行交通指揮和管理,紅、黃、綠燈的轉(zhuǎn)換要有一個準確的時間間隔和轉(zhuǎn)換順序,這就需要有一個安全、自動的系統(tǒng)對紅、黃、綠燈的轉(zhuǎn)換進行管理,本系統(tǒng)就是基于此目的而開發(fā)的。如下圖2-1為十字路口交通管理信號燈的示意圖。</p><p>  圖2-1

11、十字路口交通管理信號燈</p><p>  2.1交通燈控制的系統(tǒng)構(gòu)成</p><p>  城市中常見的大型十字路口如下2-2圖所示。</p><p>  一般情況下,當汽車行駛至十字交通路口時,有3種選擇: 向前,向左轉(zhuǎn)彎,向右轉(zhuǎn)彎。根據(jù)我國的交通規(guī)則規(guī)定,汽車是靠右行駛,向右拐彎只要走弧形的支干道即可,不需受十字交通燈的束縛。因此,本文主要考慮前行和左轉(zhuǎn)這兩種

12、情況。十字路口交通燈負責控制各走向紅綠燈的狀態(tài)及轉(zhuǎn)換,并且各狀態(tài)之間有一定的時間過渡。同時,東西南北每條干道上都為人行橫道設(shè)置了紅綠燈,提醒行人在安全時刻穿越道路以保證行人的安全。</p><p>  城市路口交通信號控制系統(tǒng)大體上分為三種類型: 定周期的信號機、多時段且具有無電纜協(xié)調(diào)功能的微電腦型信號機以及聯(lián)網(wǎng)式自適應(yīng)多相位智能型信號機。具體采用哪種類型, 應(yīng)根據(jù)其應(yīng)用場合及特點加以確定。其中, 第一種類型以其

13、成本低,設(shè)計簡單, 安裝及維護方便等特點得到了廣泛應(yīng)用。</p><p>  2.2交通燈控制器的電路控制原理框圖</p><p>  如圖3-1所示,主要包括置數(shù)器模塊、定時計數(shù)器模塊、主控制器模塊和譯碼器模塊。置數(shù)器模塊將交通燈的點亮時間預(yù)置到置數(shù)電路中。計數(shù)器模塊以秒為單位倒計時,當計數(shù)值減為零時,主控電路改變輸出狀態(tài),電路進入下一個狀態(tài)的倒計時。核心部分是主控制模塊。具體控制情況如

14、下</p><p>  2.3器件下載編程與硬件實現(xiàn)  在進行硬件測試時,按鍵k1對應(yīng)復位端reset,按鍵k2對應(yīng)緊急開關(guān)urgent。EDA實驗開發(fā)系統(tǒng)上的時鐘cp2對應(yīng)計數(shù)時鐘CLK,數(shù)碼管M3、M4對應(yīng)東西走向的時鐘顯示。LED燈l16、l15、l14對應(yīng)東西走向的綠燈G1、黃燈Y1、紅燈R1。數(shù)碼管M1、M2對應(yīng)南北走向的時鐘顯示。LED燈l1、l2、l3對應(yīng)南北走向的綠燈G2、黃燈Y2、紅燈R2,對

15、應(yīng)的硬件結(jié)構(gòu)示意圖如圖3-3所示。</p><p>  圖3-3 交通燈控制系統(tǒng)的硬件示意圖</p><p><b>  三設(shè)計內(nèi)容</b></p><p><b>  3.1設(shè)計步驟</b></p><p>  1、啟動Quarrtus軟件;</p><p>  2、單擊

16、菜單欄中的File/New命令,打開New對話框,選擇Device Design Files中的Block Diagram/Schematic,打開圖形編輯窗口;</p><p>  3、右擊鼠標,彈出菜單,點擊“Insert/Symbol”,畫一個圖形保存,同時創(chuàng)建一個工程;</p><p>  4、在同一個工程下,單擊菜單欄中的File/New命令,打開New對話框,選擇Device

17、Design Files中的VHDL Files,輸入實訓的源程序;</p><p>  5、單擊菜單欄中的Process\Start Compilation命令,啟動全程編譯;</p><p>  6、編譯后加載源程序的Symbol;</p><p>  7、畫出實訓的原理圖,通過兩組交通燈來模擬控制東西、南北兩條通道上的車輛通行,所有功能在實驗操作平臺上進行模擬

18、通過,根據(jù)原理其主要功能如圖3-4:</p><p>  圖3-4 系統(tǒng)結(jié)構(gòu)圖</p><p>  8、進行仿真,建立波形文件,單擊File/New命令,打開文件選擇窗口,然后單擊“Other Files”選項卡,選擇其中的“Vector Waveform File”選項;</p><p>  9、輸入信號節(jié)點,單擊View\Utility Windows\Node

19、 Finder命令,添加端口引腳名;</p><p>  10、指定芯片管腳,單擊菜單欄中的Assignments\Pin,打開芯片管腳對話框。</p><p>  3.2交通燈控制器各模塊的程序</p><p>  1 簡介控制部分的設(shè)計</p><p>  控制模塊是交通控制系統(tǒng)的核心部分,控制模塊主要用于控制系統(tǒng)的時鐘、計數(shù)值、循環(huán)周期

20、判別和人工控制信號輸入,并將計數(shù)值做相關(guān)的運算得到數(shù)碼管的倒計時值,同時控制十字路口信號燈的亮、滅火閃爍。如圖3-5所示,reset是復位引腳;clk是時鐘引腳,其值為分頻器的輸出時鐘信號;urgen是緊急狀態(tài)引腳;state[1..0]是狀態(tài)引腳;sub,set1,set2是狀態(tài)選擇引腳。</p><p>  process (reset,clk) </p><

21、;p><b>  begin</b></p><p>  if reset='1' then </p><p>  count<="0000000";</p><p>  state<="00"; </p&

22、gt;<p>  elsif clk'event and clk='1' then 圖3-5控制部分的圖形</p><p>  if urgen ='0' then count<=count+1;subtemp<='1';else subtemp<='0';end if;</p><

23、p>  if count =0 then state<="00";set1<='1';set2<='1';</p><p>  elsif count =20 then state<="01";set1<='1';</p><p>  elsif count =25

24、then state<="10";set1<='1'; set2<='1';</p><p>  elsif count =35 then state<="11";set2<='1';</p><p>  elsif count =40 then count<=&qu

25、ot;0000000";else set1<='0'; set2<='0';end if;</p><p><b>  end if;</b></p><p>  end process statelabel</p><p><b>  2、顯示部分的設(shè)計</b><

26、;/p><p>  顯示模塊包括數(shù)碼管動態(tài)掃描電路和譯碼顯示電路,動態(tài)掃描電路用于選擇需要顯示的數(shù)碼管,譯碼顯示電路用于將輸入的二進制信息轉(zhuǎn)換為數(shù)碼管顯示編碼。如圖3-6所示,clk是時鐘引腳;urgen是緊急狀態(tài)引腳;state[1..0]是狀態(tài)引腳;sub,set1,set2是狀態(tài)選擇引腳,在sub為上升沿時,可以用set1和set2來選擇調(diào)整交通燈的狀態(tài);r1,g1,y1,r2,g2,y2分別是主干道方向和次干

27、道方向的紅、綠、黃燈信號引腳;led1[7..0],led2[7..0]分別為主干道方向和次干道方向的數(shù)碼管倒計時信號燈引腳。 </p><p><b>  label2:</b></p><p>  process(sub)</p><p><b>  begin</b></p>&

28、lt;p>  if sub'event and sub='1' then</p><p>  if set2='1' then</p><p>  count2<=setstate2; 圖3-6顯示部分的圖形</p><p>  elsif count2(3 downto 0)=

29、"0000" then count2<=count2-7;</p><p>  else count2<=count2-1;</p><p><b>  end if;</b></p><p><b>  g2<=tg2;</b></p><p><b&g

30、t;  r2<=tr2;</b></p><p><b>  y2<=ty2;</b></p><p><b>  end if;</b></p><p>  end process label2;</p><p><b>  label1:</b>&l

31、t;/p><p>  process(sub)</p><p><b>  begin </b></p><p>  if sub'event and sub='1' then</p><p>  if set1='1' then</p><p>  count

32、1<=setstate1;</p><p>  elsif count1(3 downto 0)="0000" then count1<=count1-7;</p><p>  else count1<=count1-1;</p><p><b>  end if;</b></p><p

33、><b>  g1<=tg1;</b></p><p><b>  r1<=tr1;</b></p><p><b>  y1<=ty1;</b></p><p><b>  end if;</b></p><p>  end pr

34、ocess label1; </p><p>  其中l(wèi)edcontrol部分為控制器模塊,ledshhow部分為譯碼器模塊??刂破靼ㄖ笖?shù)模塊、計數(shù)模塊和主控制器模塊。置數(shù)模塊將交通燈的點亮時間預(yù)置到置數(shù)電路中,計數(shù)模塊以秒為單位倒計時,當計數(shù)值減為零時,主控電路改變輸出狀態(tài),電路進入下一個狀態(tài)的倒計時。譯碼器模塊將控制器模塊的控制作用通過譯碼表現(xiàn)出來,即我們看到的交通燈

35、。最后上圖最左邊是系統(tǒng)輸入,右邊是系統(tǒng)輸出。</p><p>  3.3 軟件仿真結(jié)果</p><p><b>  圖3-7仿真波形</b></p><p>  3.4硬件實現(xiàn)及調(diào)試結(jié)果</p><p><b>  1、調(diào)試</b></p><p>  在QuartusII軟

36、件中,通過對所設(shè)計的硬件描述語言代碼進行波形仿真后,達到了預(yù)期效果,于是,我們在該軟件上進行下載配置設(shè)置。在Assignments菜單下選中Devices,在Family欄選擇ACEX1K,選中EPF10K10LC84-4器件。再在Assignments菜單下選中Pins按照相應(yīng)要求對管腳進行鎖定。最后在Tools菜單下,選中Programmer,對配置方式進行設(shè)置,這里選擇Passive Seril(PS)被動串行模式。選擇好要下載的

37、硬件設(shè)備后點擊Start即可開始編程下載了。</p><p>  2、指定芯片管腳圖</p><p>  圖3-8 指定芯片管腳圖</p><p><b>  總結(jié)與致謝</b></p><p>  通過這次設(shè)計,我的理論知識掌握得更扎實,動手能力明顯提高。同時,通過網(wǎng)上搜索圖書館查閱資料等方式認識到了自己知識的局限性

38、。我學到許多知識,也認識到理論聯(lián)系實踐的重要。在設(shè)計當中遇到了許多以前沒遇到的困難。學會了利用許多的方法去解決所遇到的問題。編好程序后,雖然總是出錯,比如說狀態(tài)不能改變,綠燈不能按時閃爍等,但是經(jīng)過多次研究在老師和同學的幫助下終于找到問題所在并糾正。這次設(shè)計,讓我感受最深是:在仿真的階段遇到很多的問題,我們一定要具備一定的檢查、排除錯誤的能力。我深刻認識到了“理論聯(lián)系實際”的這句話的重要性與真實性。而且通過對此課程的設(shè)計,我不但知道了以

39、前不知道的理論知識,而且也鞏固了以前知道的知識。</p><p>  在本次設(shè)計中,我們完成本系統(tǒng)設(shè)計的要求及功能。在設(shè)計開始前我們對各個模塊進行了詳細的分析和設(shè)計準備工作,設(shè)計過程中,我們相互協(xié)調(diào),積極參與完成各個技術(shù)實現(xiàn)的難點。</p><p>  由于時間倉促和我們自身知識水平有限,本設(shè)計在功能上也只是完成了一些基本功能,對于電路的可靠性,穩(wěn)定性等參數(shù)也還未做過詳細的測試。在交通的人

40、性化控制方面也還未做周全的考慮,若在行駛過程中出現(xiàn)交通信號燈損壞或是電源斷電的情況,則應(yīng)有緊急狀態(tài)燈來控制交通的管理,好提醒司機們或是行人注意保持車距,避免交通事故的發(fā)生。對我而言,知識上的收獲重要,精神上的豐收更加可喜。同時,非常感謝老師對我的大力幫助。</p><p><b>  五、參考文獻</b></p><p>  [1] 黃仁欣.EDA技術(shù)實用教程.北京:

41、清華大學出版社,2006</p><p>  [2] 潘松,黃繼業(yè).EDA技術(shù)與VHDL.北京:清華大學出版社,2009</p><p>  [3] 江國強編著.EDA技術(shù)與應(yīng)用(第三版)..北京:電子工業(yè)出版社,2010</p><p>  [4] 夏宇聞編著.Verilog HDL數(shù)字系統(tǒng)設(shè)計教程..北京:北京航空航天大學出版社,2008</p>

42、<p>  [5] 周祖成,程曉軍,馬卓釗編著.數(shù)字電路與系統(tǒng)教學實驗教程.北京:科學出版社,2010</p><p>  [6] 周潤景,蘇良碧.基于Quartus II 的數(shù)字系統(tǒng)Verilog HDL設(shè)計實例詳解.北京:電子工業(yè)出版社,2010</p><p>  [7] (美國)Sanir Palnitkar 譯者:夏宇聞 胡燕祥 刁嵐松.Verilog HDL數(shù)字設(shè)計與

43、綜合(第2版).北京:電子工業(yè)出版社,2009</p><p>  [8] 云創(chuàng)工作室.Verilog HDL程序設(shè)計與實踐.北京:人民郵電出版社,2009</p><p>  [9] 劉福奇,劉波.Verilog HDL應(yīng)用程序設(shè)計實例精講.北京:電子工業(yè)出版社,2009</p><p>  [10] 張延偉,楊金巖,葛愛學.verilog hdl程序設(shè)計實例詳解

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論