2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩23頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b>  課 程 設(shè) 計(jì)</b></p><p><b>  課程設(shè)計(jì)任務(wù)書</b></p><p>  題 目: 多功能數(shù)字鐘的設(shè)計(jì)及制作 </p><p><b>  初始條件:</b></p><p>  準(zhǔn)確計(jì)時(shí),顯示時(shí)分秒</p>

2、<p>  小時(shí)12翻1,分秒60進(jìn)1</p><p>  選做: 設(shè)計(jì)可校正時(shí)間的電路</p><p>  要求完成的主要任務(wù): (包括課程設(shè)計(jì)工作量及其技術(shù)要求,以及說明書撰寫等具體要求)</p><p><b>  設(shè)計(jì)任務(wù)及要求</b></p><p><b>  方案比較及認(rèn)證</b

3、></p><p><b>  系統(tǒng)框圖,原理說明</b></p><p>  硬件原理,完整電路圖,采用器件的功能說明</p><p><b>  調(diào)試記錄及結(jié)果分析</b></p><p>  對(duì)成果的評(píng)價(jià)及改進(jìn)方法</p><p><b>  總結(jié)(收獲

4、及體會(huì))</b></p><p><b>  參考資料</b></p><p>  附錄:器件表,芯片資料</p><p><b>  時(shí)間安排:</b></p><p>  6月27日~6月30日:明確課題,收集資料,方案確定,仿真</p><p>  7月1日

5、~7月4日:硬件電路制作與調(diào)試</p><p>  7月5日~7月8日;報(bào)告撰寫,交設(shè)計(jì)報(bào)告,答辯</p><p>  指導(dǎo)教師簽名: 年 月 日</p><p>  系主任(或責(zé)任教師)簽名: 年 月</p><p><b>  目錄</b&g

6、t;</p><p>  摘要································&

7、#183;····································

8、;··1</p><p>  多功能數(shù)字鐘的設(shè)計(jì)及制作····························

9、83;······················2</p><p>  1數(shù)字鐘的設(shè)計(jì)內(nèi)容及要求········&

10、#183;····································

11、;···2</p><p>  1.1數(shù)字鐘的設(shè)計(jì)內(nèi)容···························

12、3;······················2</p><p>  1.2數(shù)字鐘的設(shè)計(jì)要求········

13、83;····································&

14、#183;····2</p><p>  2電路設(shè)計(jì)方案及其論證··························

15、························2</p><p>  2.1數(shù)字鐘系統(tǒng)總電路圖······

16、3;····································&#

17、183;····2</p><p>  2.2數(shù)字鐘的原理框圖··························&

18、#183;·······················2</p><p>  2.3數(shù)字鐘的基本原理·······

19、····································

20、3;······2</p><p>  3單元電路·························

21、83;····································&

22、#183;4</p><p>  3.1計(jì)時(shí)電路······························

23、3;····························4</p><p>  3.2脈沖信號(hào)源——多諧振蕩電路·

24、3;····································&#

25、183;··6</p><p>  3.3顯示電路·····························

26、;·····························7</p><p>  3.4校時(shí)電路··&#

27、183;····································

28、···················8</p><p>  4硬件電路的設(shè)計(jì)及其制作與調(diào)試···········&

29、#183;······························9</p><p>  4.1仿真使用的系統(tǒng)&

30、#183;····································

31、;···············9</p><p>  4.2制作與調(diào)試的方法和技巧···············&

32、#183;····························10</p><p>  4.3測(cè)試的數(shù)據(jù)和理論計(jì)算的比較分析

33、3;···································10</p

34、><p>  4.4制作與調(diào)試中出現(xiàn)的故障、原因及排除方法····························10</p>

35、<p>  5本設(shè)計(jì)的方案優(yōu)勢(shì)及與被排除的方案的對(duì)比·······························&#

36、183;11</p><p>  6系統(tǒng)需要的各類軟件和硬件·····························

37、3;················12</p><p>  結(jié)束語···············

38、3;····································&#

39、183;···············13</p><p>  參考文獻(xiàn)················&

40、#183;····································

41、;·············14</p><p>  附錄···················

42、····································

43、3;··············15</p><p><b>  摘要</b></p><p>  數(shù)字鐘是采用數(shù)字電路實(shí)現(xiàn)對(duì)時(shí)、分、秒、數(shù)字顯示的計(jì)時(shí)裝置,廣泛用于個(gè)人家庭,車站, 碼頭辦公室等公共場(chǎng)所,成為人們?nèi)粘I钪胁豢缮?/p>

44、的必需品,由于數(shù)字集成電路的發(fā)展和廣泛應(yīng)用,使得數(shù)字鐘的精度遠(yuǎn)遠(yuǎn)超過老式鐘表,鐘表的數(shù)字化給人們生產(chǎn)生活帶來了極大的方便而且大大地?cái)U(kuò)展了鐘表原先的報(bào)時(shí)功能。因此,研究數(shù)字鐘及擴(kuò)大其應(yīng)用,有著非?,F(xiàn)實(shí)的意義。</p><p>  經(jīng)過了數(shù)字電子技術(shù)這門課程的系統(tǒng)學(xué)習(xí),特別經(jīng)過了關(guān)于組合邏輯電路與時(shí)序邏輯電路部分的學(xué)習(xí),我們已經(jīng)具備了設(shè)計(jì)小規(guī)模集成電路的能力,借由本次設(shè)計(jì)的機(jī)會(huì),通過設(shè)計(jì)相關(guān)的組合邏輯電路和時(shí)序邏輯電

45、路以及運(yùn)用合適的集成芯片使其能實(shí)現(xiàn)所要求的功能,將所學(xué)的知識(shí)運(yùn)用到實(shí)踐中來。 </p><p>  摘要:數(shù)字鐘、集成電路、數(shù)字電子技術(shù)、集成芯片</p><p>  多功能數(shù)字鐘的設(shè)計(jì)及制作</p><p>  1數(shù)字鐘的設(shè)計(jì)內(nèi)容及要求</p><p>  1.1數(shù)字鐘的設(shè)計(jì)內(nèi)容</p><p>  數(shù)字鐘是一種用數(shù)

46、字電路技術(shù)實(shí)現(xiàn)時(shí)、分、秒計(jì)時(shí)的裝置。數(shù)字鐘的從原理上講是一種典型的數(shù)字電路,其中包含了組合邏輯電路和時(shí)序邏輯電路。通過設(shè)計(jì)相關(guān)的組合邏輯電路和時(shí)序邏輯電路使其能實(shí)現(xiàn)時(shí)分秒計(jì)時(shí)的功能。</p><p>  1.2數(shù)字鐘的設(shè)計(jì)要求</p><p>  (1)準(zhǔn)確計(jì)時(shí),顯示時(shí)分秒。</p><p>  (2)小時(shí)24翻1,分秒60進(jìn)1。</p><p&

47、gt; ?。?)具有校時(shí)功能,可以對(duì)時(shí)和分進(jìn)行單獨(dú)校時(shí),使其校正到準(zhǔn)確時(shí)間。</p><p>  2電路設(shè)計(jì)方案及其論證</p><p>  2.1數(shù)字鐘的系統(tǒng)總電路圖</p><p>  數(shù)字鐘的系統(tǒng)總電路圖如下圖圖1所示。</p><p>  2.2數(shù)字鐘的原理框圖</p><p>  數(shù)字電子鐘由時(shí)鐘脈沖源、“時(shí)

48、、分、秒”計(jì)數(shù)器、譯碼電路、數(shù)碼顯示管、校時(shí)電路組成。具體連接方式如下圖圖2</p><p>  圖2數(shù)字鐘的原理框圖</p><p>  2.3數(shù)字鐘的基本原理</p><p>  數(shù)字電子鐘由時(shí)鐘脈沖源、“時(shí)、分、秒”計(jì)數(shù)器、譯碼電路、數(shù)碼顯示管、校時(shí)電路組成。各部分功能如下:</p><p>  時(shí)鐘脈沖源:多諧振蕩電路,產(chǎn)生1HZ的脈

49、沖,作為數(shù)字鐘的標(biāo)準(zhǔn)秒計(jì)數(shù)基準(zhǔn)——1秒鐘計(jì)數(shù)一次。</p><p>  “時(shí)、分、秒”計(jì)數(shù)器:將標(biāo)準(zhǔn)秒計(jì)數(shù)脈沖送入秒計(jì)數(shù)器,該計(jì)數(shù)器由芯片74LS290N構(gòu)成60 進(jìn)制計(jì)數(shù)器,累計(jì)60 發(fā)送一個(gè)分計(jì)數(shù)脈沖,分計(jì)數(shù)脈沖進(jìn)入分計(jì)數(shù)器,該計(jì)數(shù)器由芯片74LS290N構(gòu)成60 進(jìn)制計(jì)數(shù)器,累計(jì)60 發(fā)送一個(gè)時(shí)計(jì)數(shù)脈沖,時(shí)計(jì)數(shù)脈沖送入時(shí)計(jì)時(shí)器,該計(jì)數(shù)器由芯片74LS290N構(gòu)成24進(jìn)制計(jì)數(shù)器,累計(jì)24變回0,重新計(jì)數(shù)。&l

50、t;/p><p>  譯碼電路、數(shù)碼顯示管:計(jì)數(shù)器芯片74LS290N的輸出端連接顯示電路,以顯示時(shí)間。顯示電路由六個(gè)譯碼器及六個(gè)數(shù)碼顯示管組成,譯碼器為芯片74LS48,數(shù)碼顯示管能顯示0~9十個(gè)數(shù)字,六個(gè)數(shù)碼顯示管依次顯示時(shí)、分、秒。</p><p>  校時(shí)電路:時(shí)校時(shí)電路和分校時(shí)電路分別由兩個(gè)單刀雙擲開關(guān)組成,其中一個(gè)單刀雙擲開關(guān)一端接脈沖信號(hào),一端接單次脈沖,單次脈沖由一個(gè)單刀雙擲開

51、關(guān)和高低電平組成。</p><p><b>  3單元電路</b></p><p><b>  3.1計(jì)時(shí)電路</b></p><p>  本設(shè)計(jì)中的計(jì)時(shí)電路采用計(jì)數(shù)芯片74LS290,其引腳圖和功能表見附錄。74LS290是異步二-五-十進(jìn)制計(jì)數(shù)器。74LS290由兩部分構(gòu)成:第一部分是一位二進(jìn)制計(jì)數(shù)器,如圖3所示, 和

52、 是它的計(jì)數(shù)輸入端和輸出端;第二部分是一個(gè)異步五進(jìn)制計(jì)數(shù)器,如圖4所示, 是它的輸入端, 、 、 是輸出端。</p><p>  圖3 二進(jìn)制 圖4 五進(jìn)制</p><p>  如果將 和 相連,計(jì)數(shù)器脈沖從 輸入,即成為8421碼異步十進(jìn)制計(jì)數(shù)器,其輸出碼序是 ;如果將 和 相連,計(jì)數(shù)器脈沖從 輸入,即成為5421碼異步十進(jìn)

53、制計(jì)數(shù)器,其輸出碼序是 。分別見圖5圖6。</p><p>  圖5 8421碼十進(jìn)制 圖6 5421碼十進(jìn)制</p><p>  從74LS290的功能可知,當(dāng) 、 全為1, 、 中至少有一個(gè)為0時(shí),電路輸出被置為0000。當(dāng) 、 全為1, 、 為任何狀態(tài),電路輸出被置為1001。當(dāng) 、 和 、 中至少有一個(gè)為0時(shí),電路為計(jì)數(shù)狀態(tài)。<

54、;/p><p>  依上功能,在本設(shè)計(jì)中利用將 和 相連,計(jì)數(shù)器脈沖從 輸入,構(gòu)成8421碼異步十進(jìn)制計(jì)數(shù)器,其輸出碼序是 。然后在8421碼異步十進(jìn)制計(jì)數(shù)器基礎(chǔ)上利用置零端 、 同時(shí)為1時(shí)置零,將 、 分別接 ,只有當(dāng) 同時(shí)為1時(shí),計(jì)數(shù)器被置零,從而形成六進(jìn)制計(jì)數(shù)器。最后級(jí)聯(lián)成六十進(jìn)制計(jì)數(shù)器。</p><p><b>  級(jí)聯(lián)原理如下:</b></p>&

55、lt;p>  利用計(jì)數(shù)器芯片級(jí)聯(lián)(相當(dāng)于串行進(jìn)位)法實(shí)現(xiàn)N進(jìn)制計(jì)數(shù)器,首先用反饋清零法分別實(shí)現(xiàn)M1和M2進(jìn)制的計(jì)數(shù)器,然后讓低位芯片的進(jìn)位信號(hào)送入高位芯片的脈沖信號(hào)CP,級(jí)聯(lián)形成N=M1×M2進(jìn)制計(jì)數(shù)器。原理如下圖7所示。</p><p>  圖7 級(jí)聯(lián)原理圖</p><p>  同六十進(jìn)制計(jì)數(shù)器,在8421碼異步十進(jìn)制計(jì)數(shù)器基礎(chǔ)上利用置零端 、 同時(shí)為1時(shí)置零,構(gòu)成二

56、十四進(jìn)制計(jì)數(shù)器。下面從分別數(shù)字鐘的三個(gè)計(jì)時(shí)電路講述原理:</p><p><b> ?、倜胗?jì)時(shí)電路</b></p><p>  秒計(jì)時(shí)電路為六十進(jìn)制計(jì)數(shù)器,先由兩片74LS290分別構(gòu)成六進(jìn)制和十進(jìn)制的計(jì)數(shù)器,然后將六進(jìn)制和十進(jìn)制進(jìn)行級(jí)聯(lián)得到六十進(jìn)制計(jì)數(shù)器。原理圖如下: </p><p>  圖8 六十進(jìn)制計(jì)數(shù)器原理圖</p>

57、<p>  功能原理:依據(jù)上面的解釋可知,芯片(2)的 和 相連,構(gòu)成8421碼異步十進(jìn)制計(jì)數(shù)器, 、 和 、 中全部接地,即全為 0,該計(jì)數(shù)器一直處于計(jì)數(shù)狀態(tài)。 接標(biāo)準(zhǔn)秒脈沖,每一秒計(jì)數(shù)一次。將芯片(2)的輸出 接芯片(1)的計(jì)數(shù)脈沖 ,當(dāng)芯片(2)計(jì)數(shù)為0000~0111之間時(shí), 一直是0,當(dāng)計(jì)數(shù)到1000時(shí), 為1,直到芯片(2)計(jì)數(shù)到1001,然后回到0000重新計(jì)數(shù)時(shí), 從1跳0,產(chǎn)生下降沿,由于74LS290是

58、下降沿觸發(fā),此時(shí)芯片(1)加計(jì)數(shù)1。即芯片(1)計(jì)數(shù)10,芯片(2)計(jì)數(shù)1。由于芯片(2)將 接輸出端 , 接輸出端 ,則在計(jì)數(shù)從0000計(jì)數(shù)到0110時(shí), 、 全為1,此時(shí)置零,則整個(gè)秒計(jì)數(shù)器全部清零,重新計(jì)數(shù)。即為六十進(jìn)制計(jì)數(shù)器。</p><p><b> ?、诜钟?jì)時(shí)電路</b></p><p>  分計(jì)時(shí)電路為六十進(jìn)制計(jì)數(shù)器,電路及原理同秒計(jì)時(shí)電路,這里不再贅述

59、。</p><p>  分計(jì)數(shù)器的芯片(2)的計(jì)數(shù)脈沖 接分計(jì)數(shù)器的芯片(2)的輸出端 ,當(dāng)輸出端 由1變0時(shí)(即分計(jì)數(shù)器計(jì)數(shù)六十,一個(gè)周期),芯片(4)產(chǎn)生一個(gè)下降沿,計(jì)數(shù)器加計(jì)數(shù)1 。同樣的加到六十時(shí)置零,重新計(jì)數(shù)。</p><p><b>  ③時(shí)計(jì)時(shí)電路</b></p><p>  時(shí)計(jì)時(shí)電路為二十四進(jìn)制計(jì)數(shù)器,先由兩片74LS290構(gòu)

60、成十進(jìn)制的計(jì)數(shù)器,當(dāng)計(jì)數(shù)到24時(shí)兩片74LS290同時(shí)置零,實(shí)現(xiàn)二十四進(jìn)制。原理圖如下:</p><p>  圖9 二十四進(jìn)制計(jì)數(shù)器原理圖</p><p>  功能原理:芯片(3)和(4)的輸出端 和 相連,都構(gòu)成8421碼異步十進(jìn)制計(jì)數(shù)器。然后將芯片(3)和(4)的 、 都分別接芯片(3)的輸出端 和(4)輸出端 ,即只有當(dāng)兩個(gè)輸出端同時(shí)為1 才置零。因?yàn)閮尚酒?、 全部接地,為

61、0,只要 、 同時(shí)為1即置零。芯片(4)的計(jì)數(shù)脈沖 接分計(jì)數(shù)器的芯片(2)的輸出端 ,當(dāng)輸出端 由1變0時(shí)(即分計(jì)數(shù)器計(jì)數(shù)六十,一個(gè)周期),芯片(4)產(chǎn)生一個(gè)下降沿,計(jì)數(shù)器加計(jì)數(shù)1 。芯片(3)接芯片(4)的輸出 ,當(dāng)芯片(4)計(jì)數(shù)為0000~0111之間時(shí), 一直是0,當(dāng)計(jì)數(shù)到1000時(shí), 為1,直到芯片(4)計(jì)數(shù)到1001,然后回到0000重新計(jì)數(shù)時(shí), 從1跳0,產(chǎn)生下降沿,由于74LS290是下降沿觸發(fā),此時(shí)芯片(3)加計(jì)數(shù)1。當(dāng)

62、計(jì)數(shù)到芯片(3)的輸出端 和(4)輸出端 </p><p>  都為1,即計(jì)數(shù)到24此時(shí)兩芯片同時(shí)置零,實(shí)現(xiàn)實(shí)現(xiàn)二十四進(jìn)制</p><p>  3.2脈沖信號(hào)源——多諧振蕩電路</p><p>  555 定時(shí)器是一種模擬和數(shù)字功能相結(jié)合的中規(guī)模集成器件。由555定時(shí)器和外接元件 、 、C構(gòu)成多諧振蕩器,腳2與腳6直接相連。如圖10所示。電路沒有穩(wěn)態(tài),僅存在兩個(gè)暫穩(wěn)

63、態(tài),電路亦不需要外接觸發(fā)信號(hào),利用電源通過 、 向C充電,以及C通過 向放電端 放電,使電路產(chǎn)生振蕩。電容C在 和 之間充電和放電,從而在輸出端得到一系列的矩形波,對(duì)應(yīng)的波形如</p><p>  圖10 555構(gòu)成的多諧振蕩器 圖11多諧振蕩器的工作波形</p><p><b>  圖11所示。</b></p><p

64、>  輸出信號(hào)的時(shí)間參數(shù)是: </p><p>  T= =0.7( + )C =0.7 C其中, 為VC由 上升到 所需的時(shí)間, 為電容C放電所需的時(shí)間。 其振震蕩的頻率為 </p><p>  由于要利用555定時(shí)器產(chǎn)生頻率為1HZ的時(shí)鐘脈沖,所以通過設(shè)置相關(guān)的R和C得到預(yù)想的時(shí)鐘信號(hào)。本設(shè)計(jì)中采用 =5 , =4.3 , C=100uF,

65、可以使得f=1HZ。</p><p><b>  3.3顯示電路</b></p><p>  顯示電路由六個(gè)譯碼器和六個(gè)七段數(shù)碼顯示管組成,從原理框圖可知,一個(gè)74LS290芯片的輸出端接一個(gè)譯碼管的輸入端,譯碼管的輸出端接七段數(shù)碼顯示管的輸入,即將74LS290的二進(jìn)制輸出轉(zhuǎn)化為十進(jìn)制,進(jìn)而轉(zhuǎn)化為數(shù)字0~9顯示。</p><p>  譯碼管是

66、一種將二進(jìn)制轉(zhuǎn)化為十進(jìn)制的器件,本設(shè)計(jì)采用4線-7端74LS48,它是BCD 碼七段譯碼管兼驅(qū)動(dòng)器,其引腳圖及功能表見附錄。</p><p>  74LS48的引出端符號(hào)為: </p><p><b>  譯碼地址輸入端;</b></p><p>  / 消隱輸入(低電平有效)/脈沖消隱輸出(低電平有效) ;</p><p&

67、gt;  燈測(cè)試輸入端(低電平有效); </p><p>  脈沖消隱輸入端(低電平有效); </p><p><b>  - 段為輸出端。</b></p><p>  當(dāng) 為低電平時(shí),不管其它輸入端狀態(tài)如何, - 均為低電平,數(shù)碼管七段全暗。譯碼時(shí) 。燈測(cè)試輸入 低電平有效,當(dāng) =0, / =1時(shí),無論輸出為何種狀態(tài),所有輸出為1,數(shù)碼管七

68、段全亮,顯示數(shù)字8。譯碼時(shí) =1。</p><p>  脈沖消隱輸入端 =1時(shí),對(duì)譯碼無影響。當(dāng) 時(shí),若 ,輸入數(shù)碼是十進(jìn)制零時(shí),七段全暗,不顯示,輸入數(shù)碼不為零時(shí),則照常顯示。脈沖消隱輸出 與消隱輸入 共用一個(gè)管腳4,當(dāng)它作為輸出時(shí),與 配合,共同使冗余零消隱。</p><p>  數(shù)碼管由7個(gè)發(fā)光二極管組成,此外,還有一個(gè)圓點(diǎn)型發(fā)光二極管(在圖中以dp表示),用于顯示小數(shù)點(diǎn)。通過七段發(fā)

69、光二極管亮暗的不同組合,可以顯示多種數(shù)字、字母以及其它符號(hào)。數(shù)碼管中的發(fā)光二極管共有兩種連接方法:</p><p>  共陽極接法就是把發(fā)光二極管的陽極都一起接到高電平上,輸入低電平有效。</p><p>  共陰極接法則相反,它是把發(fā)光二極管的陰極都一起接地,輸入高電平有效。</p><p>  設(shè)計(jì)中將74LS48和數(shù)碼管配套使用,將74LS48的輸出 - 與數(shù)

70、碼管的輸入端a-g相連,即將計(jì)數(shù)器的二進(jìn)制碼通過譯碼器譯為十進(jìn)制,再通過數(shù)碼管顯示為十進(jìn)制數(shù)字。</p><p>  圖12 七段數(shù)碼顯示管</p><p><b>  3.4校時(shí)電路</b></p><p>  多功能數(shù)字鐘作為一種計(jì)時(shí)裝置,為了更精確的顯示時(shí)間,安裝校時(shí)電路是很有必要的。本設(shè)計(jì)中安裝了時(shí)校時(shí)電路和分校時(shí)電路,兩個(gè)校時(shí)電路單獨(dú)

71、操做。時(shí)校時(shí)電路的簡(jiǎn)單原理圖如下圖13所示。</p><p>  圖13 時(shí)校時(shí)電路原理圖</p><p>  功能原理:該時(shí)校時(shí)電路由兩個(gè)單刀雙擲開關(guān)組成,開關(guān) 接時(shí)計(jì)數(shù)器的地位芯片計(jì)數(shù)脈沖 ,雙擲的上端接分計(jì)數(shù)器高位發(fā)出的進(jìn)位信號(hào),下端接開關(guān) ,開關(guān) 的上端接電源 ,下端接地。即開關(guān) 構(gòu)成一個(gè)單次脈沖源,開關(guān)來回?fù)芤淮危纬梢粋€(gè)下降沿,可以加計(jì)數(shù)一次,從而可以手動(dòng)的調(diào)整時(shí)計(jì)數(shù)器的數(shù)

72、字。當(dāng)開關(guān) 撥向上面時(shí),處于正常計(jì)數(shù)狀態(tài),當(dāng)開關(guān) 撥向下面時(shí),便于開關(guān) 接通,可以通過開關(guān) 來產(chǎn)生單次脈沖,從而精確校時(shí)。</p><p>  本設(shè)計(jì)中分校時(shí)電路與是校時(shí)電路完全相似,這里不再贅述。</p><p>  4硬件電路的設(shè)計(jì)及其制作與調(diào)試</p><p>  4.1仿真使用的系統(tǒng)</p><p>  本次設(shè)計(jì)使用的仿真軟件為Mul

73、tisim11,由于它的仿真元件庫很豐富,數(shù)字電路的集成芯片和各種電路元件都能滿足需要。另外操作起來很簡(jiǎn)單,所以非常適用,這也是我選擇Multisim11的重要原因之一。</p><p>  在設(shè)計(jì)數(shù)字鐘的實(shí)驗(yàn)電路之后,就針對(duì)所涉及的元件進(jìn)行導(dǎo)入,連線,仿真,在此過程中出現(xiàn)的問題如下:</p><p>  由于該仿真元件庫里的集成芯片與我們平時(shí)數(shù)電實(shí)驗(yàn)中所用到的芯片所標(biāo)注的管腳不一樣,所以

74、在搭建電路的過程中出現(xiàn)了很多錯(cuò)誤,例如芯片74LS290N的管腳標(biāo)號(hào)就比較特殊,其輸出端為 ,如圖14所示,而不是 ,而且更重要的是 是從低位到高位,而平時(shí)接觸到的 是從高位到低位輸出,我開始搭建電路時(shí)就沒注意,于是仿真結(jié)果出現(xiàn)了亂碼。經(jīng)過仔細(xì)檢查后,便發(fā)現(xiàn)是輸出短接錯(cuò)的結(jié)果。同樣的,該仿真元件庫里的集成芯片74LS290N的清零端INA和INB也相應(yīng)的對(duì)應(yīng)數(shù)電實(shí)驗(yàn)管腳圖中的 、 ,此處也需格外注意,容易出錯(cuò)。 </p>

75、<p>  圖14 仿真軟件中74LS290的管腳圖</p><p>  由于仿真元件庫里的元件十分豐富,資源也非常多,這給我們到來很多便利,但是同時(shí)也給加大我們的工作量,如,當(dāng)我在搭建校時(shí)電路時(shí)一直沒找到單次脈沖源,翻遍了所有的元件庫及窗口右邊的工具條,都沒有找到合適的單次脈沖源,詢問了同學(xué),上網(wǎng)搜尋資料也無結(jié)果,最后只有尋求老師的幫助,才知道沒有現(xiàn)成的單次脈沖源可用,只能利用自己已學(xué)的知識(shí)及單次脈沖

76、源的性質(zhì)特點(diǎn)自己設(shè)計(jì),于是我用到了一個(gè)單刀雙擲開關(guān),分別接高低電平構(gòu)建單次脈沖源。</p><p>  還有,由于仿真軟件跟實(shí)際實(shí)驗(yàn)還是存在一定的差異,所以仿真中,當(dāng)將</p><p>  1HZ的時(shí)鐘脈沖送入秒計(jì)時(shí)器中,數(shù)碼管中的數(shù)字并不是一秒鐘變化一次,而是不動(dòng),好像是電路出現(xiàn)故障,所以我將時(shí)鐘脈沖的頻率加大,此時(shí)便可以看到數(shù)碼管的秒計(jì)數(shù)器在不停地計(jì)時(shí),當(dāng)秒從00加到59之后,又重新變

77、回00。而分計(jì)數(shù)器加1,當(dāng)分計(jì)數(shù)器從00加到59之后,又重新變回00。而時(shí)計(jì)數(shù)器加1,當(dāng)時(shí)計(jì)數(shù)器從00變到23后,數(shù)字鐘全部清零,又重新開始計(jì)數(shù)。</p><p>  仿真的時(shí)候由于仿真庫里有1HZ的時(shí)鐘脈沖信號(hào),于是忽略了制作時(shí)鐘信號(hào)源,經(jīng)過老師提醒,數(shù)字鐘不可能還跟電源打包買吧。于是考慮用555定時(shí)器制作秒脈沖信號(hào)。正是由于仿真軟件的便捷,各種元件齊全,導(dǎo)致我們?cè)诳紤]硬件實(shí)現(xiàn)的時(shí)候忽略了一些實(shí)際中沒有的元件,

78、給硬件實(shí)現(xiàn)留下漏洞。</p><p>  4.2制作與調(diào)試的方法和技巧</p><p>  在硬件操作中,主要是布線和布置元器件的技巧。在仿真軟件中這些東西調(diào)整起來比較容易,而且由于軟件是虛擬的,所以不用考慮空間限制及經(jīng)濟(jì)性,而在實(shí)際操作中必須得在有限的面包板上搭建好完整的電路,并且還要考慮易于操作和美觀性。因此布線和布置元器件是關(guān)鍵。在硬件搭建過程中還要靠組員之間的配合協(xié)作。</p

79、><p>  技巧:對(duì)譯碼驅(qū)動(dòng)電路和計(jì)數(shù)電路同時(shí)布線。先只進(jìn)行它的一個(gè)顯示管和一塊74LS48和一塊74LS290秒的個(gè)位安裝,當(dāng)驗(yàn)證產(chǎn)生的計(jì)數(shù)沒有問題時(shí),即數(shù)碼管顯示正常,才進(jìn)一步對(duì)它進(jìn)行擴(kuò)展,安裝秒的十位,分的個(gè)位和十位,以及時(shí)的個(gè)位和十位,并進(jìn)行檢驗(yàn)。這么做主要是為了檢查方便。其次安裝的是555定時(shí)器振蕩電路。按照理論設(shè)計(jì)和已經(jīng)在Multisim11軟件中驗(yàn)證過的電路進(jìn)行安裝,當(dāng)然實(shí)際安裝中有不可預(yù)見的問題可能

80、發(fā)生,我就觀察數(shù)碼管的秒計(jì)數(shù)器,是否是1秒鐘計(jì)數(shù)一次,果然像設(shè)計(jì)和預(yù)料的那樣,1HZ的脈沖波形出現(xiàn)。再次安裝的模塊是校時(shí)模塊,我們?cè)瓉淼脑O(shè)計(jì)的是采用單刀雙擲開關(guān),但是由于實(shí)驗(yàn)室這樣的開關(guān)資源不夠,我們的設(shè)計(jì)只好稍微做下修改,將單刀單擲的開關(guān)用進(jìn)來,即原來接地電平的一端略去,雖然效果沒有單刀雙擲開關(guān)好,但是也能正常校時(shí),這樣的意外我覺得在數(shù)字電子的設(shè)計(jì)中是常見的,用其他的方法代替更能提高動(dòng)腦和動(dòng)手的能力。</p><p

81、>  4.3測(cè)試的數(shù)據(jù)和理論計(jì)算的比較分析</p><p>  在搭建好電路后,觀察數(shù)字中的功能,計(jì)時(shí)的功能完全正常,與預(yù)想和仿真的結(jié)果完全一樣。但是在觀察校時(shí)功能時(shí),存在突變的情況,例如,在校時(shí),從10按了五次開關(guān)后調(diào)到15,松開開關(guān)后,時(shí)間就跳變到37了。這是由于開關(guān)抖動(dòng)太大,而在仿真時(shí)各種元件都是工作在理想狀態(tài),不存在這種狀態(tài),所以又麻痹了我們,沒有考慮去抖動(dòng)這一點(diǎn),因此這一點(diǎn)無法避免。</p&

82、gt;<p>  4.4制作與調(diào)試中出現(xiàn)的故障、原因及排除方法</p><p>  由于實(shí)驗(yàn)條件的限制,我們?cè)谠O(shè)計(jì)時(shí)所采用的元件一部分實(shí)驗(yàn)老師沒有給,所以必須采用一些功能一樣的元件代替,硬件實(shí)現(xiàn)中所使用的計(jì)數(shù)芯片是74LS90,而不是原來設(shè)計(jì)所用的74LS290。從指導(dǎo)老師那里領(lǐng)了面包板和芯片,之后又買了其他元器件和導(dǎo)線,在面包板上連好主體電路后,接通電源,發(fā)現(xiàn)電路不能正常運(yùn)行,裝上74LS48(譯

83、碼器)后,電路還是有問題。用萬用表檢查電路,發(fā)現(xiàn)多處斷路,才終于弄明白面包板的內(nèi)部結(jié)構(gòu),原來是面包板得使用不當(dāng)。修改搭建電路后,看到四個(gè)數(shù)碼管中有三個(gè)是亮的,另外一個(gè)不亮。通過先后交換74LS90,74LS48,數(shù)碼管的方法,發(fā)現(xiàn)其中一個(gè)74LS90壞了,換了新的芯片后,能正常計(jì)時(shí)并顯示。</p><p>  5本設(shè)計(jì)的方案優(yōu)勢(shì)及與被排除的方案的對(duì)比</p><p>  在設(shè)計(jì)數(shù)字鐘的數(shù)字

84、電路時(shí),運(yùn)用所學(xué)的組合邏輯電路和數(shù)字邏輯電路知識(shí),開始確定的方案有兩種,最終確定的方案的系統(tǒng)電路圖為圖1。</p><p>  還有一種方案的電路圖為下圖15所示,與本設(shè)計(jì)所采用的方案不同之處在于芯片U5和U3的清零端均與一個(gè)二輸入的與門的輸出端相連,與門的輸入端分別接74LS290輸出端 、 ,當(dāng) 、 同時(shí)為1時(shí),與門輸出為1,才清零。</p><p>  兩種方案中明顯本設(shè)計(jì)采用的方案

85、有優(yōu)勢(shì),因?yàn)樵陔娐繁緛砭秃荦嫶蟮臄?shù)字鐘電路里,能盡量減少器件的使用,不僅能夠減少實(shí)驗(yàn)搭建電路的難度,減少</p><p>  實(shí)驗(yàn)誤差的產(chǎn)生,還能減少經(jīng)濟(jì)消耗。</p><p>  6系統(tǒng)需要的各類軟件和硬件</p><p>  在本次設(shè)計(jì)中使用的軟件為Multisim11。</p><p>  硬件有集成芯片74LS290、74LS48、5

86、55定時(shí)器,面包板,6V充電器,開關(guān),電容,電阻,導(dǎo)線。具體型號(hào)和數(shù)量見附錄。</p><p><b>  結(jié)束語</b></p><p>  通過這次課程設(shè)計(jì),加強(qiáng)了我們動(dòng)手、動(dòng)腦、思考和解決問題的能力。在整個(gè)設(shè)計(jì)過程中,我們通過這個(gè)方案包括設(shè)計(jì)了多套電路原理和元器件的選擇,最終確立了一套比較優(yōu)勢(shì)的方案。在設(shè)計(jì)過程中,經(jīng)常會(huì)遇到這樣那樣的情況,就是心里想著這樣的接法

87、可以行得通,但實(shí)際接上電路,總是實(shí)現(xiàn)不了,因此耗費(fèi)在這上面的時(shí)間很多。做課程設(shè)計(jì)同時(shí)也是對(duì)課本知識(shí)的鞏固和加強(qiáng),由于課本上的知識(shí)太多,平時(shí)課間的學(xué)習(xí)并不能很好的理解和運(yùn)用各個(gè)元件的功能,而且考試內(nèi)容有限,所以在這次課程設(shè)計(jì)過程中,我們了解了很多元件的功能,并且對(duì)于其在電路中的使用有了更多的認(rèn)識(shí)。比如一些芯片的功能,平時(shí)看課本,這次看了,下次就忘了,通過動(dòng)手實(shí)踐讓我們對(duì)各個(gè)元件映象深刻。認(rèn)識(shí)來源于實(shí)</p><p>

88、;  踐,實(shí)踐是認(rèn)識(shí)的動(dòng)力和最終目的,實(shí)踐是檢驗(yàn)真理的唯一標(biāo)準(zhǔn)。所以這個(gè)期末測(cè)試之后的課程設(shè)計(jì)對(duì)我們的作用是非常大的。 在硬件實(shí)現(xiàn)過程中發(fā)現(xiàn)細(xì)心耐心,恒心一定要有才能做好事情,首先是線的布局上既要美觀又要實(shí)用和走線簡(jiǎn)單,兼顧到方方面面去考慮是很需要的,否則只是一紙空話。</p><p>  仿真跟實(shí)際操作還是有很大的區(qū)別,很多問題可能被忽略,比如說忘了構(gòu)建1HZ的時(shí)鐘脈沖源,這肯定會(huì)給硬件實(shí)現(xiàn)留下很大的

89、漏洞。 經(jīng)過兩個(gè)星期的實(shí)習(xí),過程曲折可謂一語難盡。在此期間我們也失落過,也曾一度熱情高漲。從開始時(shí)滿富盛激情到最后汗水背后的復(fù)雜心情,點(diǎn)點(diǎn)滴滴無不令我回味??傊?,在很大的程度上細(xì)節(jié)決定一個(gè)大工程的成敗。</p><p><b>  參考文獻(xiàn)</b></p><p>  [1]康華光.數(shù)字電子技術(shù).高等教育出版社</p><p>  [2

90、]邱關(guān)源.電路. 高等教育出版社</p><p>  [3]Charles K.Alexander.電路基礎(chǔ).清華大學(xué)出版社</p><p><b>  附錄</b></p><p>  芯片74LS290的功能表及管腳圖</p><p>  圖16 74LS290的管腳圖</p><p> 

91、 表1 74LS290的功能表</p><p>  2. 74LS48的功能表及管腳圖</p><p>  圖17 74LS48的管腳圖</p><p>  表2 74ls48引腳功能表</p><p><b>  3.元器件列表</b></p><p>  表3 元器件列

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論