2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩17頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、<p><b>  目 錄</b></p><p>  設(shè)計要求··························

2、83;···········1</p><p>  設(shè)計目的····················

3、83;·················1</p><p>  設(shè)計的具體實現(xiàn)··············

4、··················1</p><p>  1、系統(tǒng)概述·············

5、3;·····················1</p><p>  2、單元電路設(shè)計··········

6、·····················2</p><p>  3、軟件程序設(shè)計··········&#

7、183;····················5</p><p>  結(jié)論與展望···········&

8、#183;························8</p><p>  心得體會與建議······

9、3;·························8</p><p>  附錄·······

10、···································9</p>

11、;<p>  參考文獻(xiàn)·································

12、3;····9</p><p><b>  設(shè)計報告</b></p><p><b>  一、設(shè)計要求</b></p><p>  1、A道和B道上均有車輛要求通過時,A、B道輪流放行。A、B道分別放行5分鐘(本次設(shè)計為8秒鐘)</p><p>  2、一道有

13、車而另一道無車(實驗時用開關(guān)K0和K1控制),交通燈控制系統(tǒng)能立即讓有車道放行</p><p>  3、有緊急車輛要求通過時,系統(tǒng)要能禁止普通車輛通行。A、B道均為紅燈,緊急車由K2開關(guān)模擬。</p><p>  4、綠燈轉(zhuǎn)換為紅燈時黃燈亮2秒鐘</p><p><b>  二、設(shè)計目的</b></p><p>  1、

14、在沒有特殊情況時候?qū)崿F(xiàn)道路交通燈的正常指示運行;</p><p>  2、當(dāng)兩條車道的其中一條道路無車時能夠智能控制有車一道車輛先行,無車一道禁止通行,當(dāng)雙車道都有車時回復(fù)正常模式運行;當(dāng)有緊急車輛通行時能智能控制兩條車道其他車輛都禁行,讓行緊急車險優(yōu)先通過,緊急車輛通過后回復(fù)正常模式。</p><p><b>  三、設(shè)計的具體實現(xiàn)</b></p>&

15、lt;p><b>  1、系統(tǒng)概述</b></p><p>  本系統(tǒng)主要包括硬件設(shè)計和軟件語言設(shè)計。</p><p>  1)硬件設(shè)計電路完成交通智能控制系統(tǒng)的硬件實現(xiàn),主要由四部分組成:</p><p> ?、貯T89C51單片機主板;</p><p>  ②倒計時數(shù)字顯示模塊;</p><

16、p> ?、圻€有其他特殊情況時候的信號輸入模塊;</p><p> ?、蹵道和B道的交通指示燈顯示模塊;</p><p>  2)軟件語言設(shè)計是控制單片機以達(dá)到控制智能電路的作用,主要實現(xiàn)紅綠燈指示電路的顯示,交替,并且只能設(shè)置其他情況時候的智能控制。 </p><p><b>  2、單元電路設(shè)計</b></p><p

17、>  本智能交通燈控制系統(tǒng)主要由一片AT89C51單片機和三個子電路組成,共同與軟件設(shè)計完成交通燈的智能控制。其中三個子電路設(shè)計如下:</p><p><b>  1)晶振輸入電路</b></p><p>  圖1—1 晶振輸入電路</p><p>  上圖1—1所示為晶振輸入電路,圖中19與18引腳分別為XTAL1、 XTAL2,他們分

18、別接晶振的輸入與輸出。因為一個機器周期含有6個狀態(tài)周期,而每個狀態(tài)周期為2個振蕩周期,所以一個機器周期共有12個振蕩周期。本設(shè)計選擇晶振頻率為12MHz,輸入芯片震蕩周期為1/12μs,一個機器周期為1μs。</p><p>  2)特殊情況信號輸入電路:</p><p>  圖1—2 特殊情況信號輸入電路</p><p>  上圖1—2所示為特殊情況信號輸入子電路

19、,由三個二選一開關(guān)K0、K1、K2,一個Vcc(對應(yīng)高電平信號)輸入,一個Gnd(對應(yīng)低電平信號)組成;K0合上(默認(rèn)接地)對應(yīng)于A道無車控制,K1合上對應(yīng)B道無車控制,K2合上對應(yīng)緊急車輛優(yōu)先通過控制。</p><p> ?、佼?dāng)K0、K1、K2為打開時(默認(rèn)接高電平),輸入信號到P1口全為1,則交通信號燈指示按正常顯示;</p><p> ?、诋?dāng)K0閉合(默認(rèn)接低電平),K1,K2打開時

20、,則輸入P1.0為0,系統(tǒng)檢測到P1.0信號,立即轉(zhuǎn)到A道無車控制,A道紅燈亮,B道綠燈亮,倒計時顯示為零,直到K0打開,系統(tǒng)重新檢測到P1.0高電平信號,恢復(fù)交通信號指示燈正常顯示;</p><p> ?、郛?dāng)K1閉合,K0,K2打開時,輸入P1.1信號為0,系統(tǒng)檢測到P1.1信號,立即轉(zhuǎn)到B道無車控制,B道紅燈亮,A道綠燈亮,倒計時顯示為零,直到K1打開,系統(tǒng)重新檢測到P1.1高電平信號,恢復(fù)交通信號指示燈正常

21、顯示;</p><p> ?、墚?dāng)K2閉合(此時無論K0、K1是閉合還是打開),輸入P1.2信號為0,系統(tǒng)檢測到P1.2信號,立即轉(zhuǎn)到緊急車輛優(yōu)先通行控制,A、B道紅燈亮,倒計時顯示為零,知道緊急車輛通過,K2打開,系統(tǒng)重新金測到P1.2高電平信號后,回復(fù)交通信號指示燈正常顯示;</p><p>  2)A道和B道的交通指示燈顯示電路:</p><p>  圖1—3

22、A道和B道的交通指示燈顯示電路</p><p>  上圖1—3所示為A道和B道的交通指示燈顯示電路,由一個Vcc、五個電阻(R1、R2、R3、R4、R5)、五個LED顯示燈(D1、D2、D3、D4、D5)共同組成;其中,設(shè)置D1和D2為A道紅綠指示燈,D3、D4為B道紅綠指示燈,D5為黃燈指示燈;當(dāng)P0口輸出為高電平時,LED燈不導(dǎo)通,不顯示,當(dāng)P0口輸出為低電平時,LED燈導(dǎo)通;當(dāng)A道為紅燈時,此時P0.1與P

23、0.2輸出為低電平,P0口其他位輸出為高電平,則D2與D3導(dǎo)通,A道禁行,B道通行;當(dāng)B道為紅燈時,此時P0.0與P0.3輸出為低電平,P0口其他位輸出為高電平,D1與D4導(dǎo)通,B道禁行,A道通行;紅燈與綠燈轉(zhuǎn)換時,P0.4輸出為低電平,此時D5導(dǎo)通,黃燈亮;</p><p>  3)倒計時數(shù)字顯示電路</p><p>  圖1—4 倒計時數(shù)字顯示電路</p><p&g

24、t;  上圖1—4所示為交通燈智能控制系統(tǒng)倒計時數(shù)字顯示電路,主要由一個七段BCD數(shù)碼管組成,完成對交通信號燈的控制倒計時顯示。輸入有四個口,接收從P2口輸出的信號,對其進(jìn)行譯碼顯示。</p><p><b>  3、軟件程序設(shè)計</b></p><p>  程序設(shè)計軟件用Keil uVision4設(shè)計編譯,仿真軟件用Proteus7.5,采用匯編語言編程,源代碼看附

25、錄一。其程序設(shè)計工作流程圖如下圖所示:</p><p>  圖1—4 程序設(shè)計工作流程圖</p><p>  圖1—4為程序設(shè)計工作流程圖,設(shè)計語言設(shè)計系統(tǒng)開始工作時候檢查開關(guān)K0、K1、K2的狀態(tài)(默認(rèn)接地為合上開關(guān)),如果三個開關(guān)都沒有合上,則系統(tǒng)自動轉(zhuǎn)到自動控制子程序,在自動控制狀態(tài)下,A道和B道的紅綠燈交替亮8秒,紅綠燈交替時亮黃燈2秒,如果K0、K1、K2沒有合上,則往復(fù)循環(huán);當(dāng)

26、K0、K1、K2有任意一個合上,則系統(tǒng)自動轉(zhuǎn)到特殊情況控制子程序,在特殊情況子程序中,當(dāng)K2合上,則轉(zhuǎn)到緊急通行子程序,控制A道和B道亮紅燈,顯示計數(shù)為零,當(dāng)K2打開后,轉(zhuǎn)回主程序;當(dāng)K0合上,轉(zhuǎn)到A道無車控制子程序,控制A道紅燈和B道綠燈亮起,顯示計數(shù)為零,當(dāng)K0打開后,自動轉(zhuǎn)回主程序;當(dāng)K1合上,轉(zhuǎn)到B道無車控制子程序,控制B道紅燈AB道綠燈亮起,顯示計數(shù)為零,當(dāng)K1打開后,自動轉(zhuǎn)回主程序;</p><p>

27、<b>  四、結(jié)論與展望</b></p><p>  我們小組設(shè)計的這個智能交通燈控制系統(tǒng),能夠基本完成A道與B道的交通智能控制與智能控制交通燈指示要求。不足之處在于設(shè)備過于簡陋,設(shè)計簡單,智能功能不夠全面。希望不斷完善本設(shè)計,讓其達(dá)到全自動的交通設(shè)計,完成一系列的智能控制,如車流量監(jiān)控,自動放行,交通違規(guī)監(jiān)控,夜間省電等功能。</p><p><b> 

28、 五、心得體會與建議</b></p><p>  通過這次的課程設(shè)計,我們小組設(shè)計的是一個智能的交通燈控制系統(tǒng),從一開始設(shè)計到完成了編程和仿真,可以說是挺不容易的。本來覺得是挺簡單的一件事情,可是事情總是知易行難,看起來容易做到的事情,在做的過程中總是會讓人覺得問題不斷?;藘芍艿臅r間來完成這次的課程設(shè)計,整個過程中遇到了不少的困難。首先是新接觸的Proteus軟件的使用,在利用它仿真的過程是通過查閱

29、相關(guān)書目與網(wǎng)絡(luò)達(dá)到的,不過完成了整個設(shè)計之后,雖然說初步掌握了其工作,可是也了解到還有很多東西都沒有掌握,需要在以后的日子加強學(xué)習(xí)與應(yīng)用;其次在編寫主程序的過程中遇到了許多的邏輯問題,應(yīng)用匯編語言來完成整個主程序的編寫時不容易的,但最后還是在別人的幫助下完成了全部的程序編寫。在解決問題的過程中我增長了知識,也積累了經(jīng)驗,收獲頗豐,也算是能夠完成任務(wù)。學(xué)海無涯,這次的課程設(shè)計讓我充分認(rèn)識到自身能力的不足之處,得抓緊時間迎頭趕上,爭取更上一

30、層樓。</p><p><b>  六、附錄</b></p><p><b>  元器件明細(xì)表</b></p><p><b>  七、參考文獻(xiàn)</b></p><p>  [1].從宏壽 電子設(shè)計自動化—Proteus在電子電路與51單片機中的應(yīng)用.西安電子科技大學(xué)出版社20

31、12</p><p>  [2]. 楊振江 單片機原理與實踐指導(dǎo).中國電力出版社2008</p><p>  [3]. 郭文川.單片機原理與接口技術(shù).中國農(nóng)業(yè)出版社.2007</p><p>  [4]. 潘永雄. 單片機實驗與實踐.電子工業(yè)出版社 2005</p><p>  [5]. 李全利. 單片機原理及接口技術(shù)[M].北京航空航天大學(xué)

32、出版社 2004</p><p>  附錄一:主程序源代碼</p><p>  K0 BIT P1.0</p><p>  K1 BIT P1.1</p><p>  K2 BIT P1.2</p><p><b>  ORG 0000H</b></p><p><b

33、>  LJMP MAIN</b></p><p><b>  ORG 0030H</b></p><p><b>  MAIN:</b></p><p>  MOV SP,#60H ;設(shè)置堆棧</p><p>  MOV P2,#00H

34、 ;計數(shù)顯示為00</p><p>  MOV TMOD,#01H ;設(shè)置T0工作在定時器模式1</p><p>  MOV A,P1 </p><p>  CJNE A,#0FFH,TO_SPECIAL ;特殊情況控制</p><p>  LJMP TO_AUTO ;正常通行情況

35、</p><p><b>  SJMP MAIN</b></p><p>  TO_SPECIAL:</p><p>  LJMP SPECIAL</p><p><b>  RET</b></p><p><b>  TO_AUTO:</b></

36、p><p><b>  LJMP AUTO</b></p><p><b>  RET</b></p><p><b>  SPECIAL:</b></p><p>  JNB K2,JINJI ;緊急車輛通過控制</p><p>  JNB K

37、0,RARED ;A道無車控制 </p><p>  JNB K1,RBRED ;B道無車控制</p><p><b>  RARED:</b></p><p>  MOV P0,#0EEH ;A道亮紅燈,B道綠燈</p><p><b>  RET<

38、;/b></p><p><b>  RBRED:</b></p><p>  MOV P0,#0F5H ;B道亮紅燈,A道綠燈</p><p><b>  RET</b></p><p><b>  JINJI:</b></p><p&g

39、t;  MOV P0,#0F6H ;AB道都亮紅燈,計數(shù)顯示為零</p><p>  MOV P2,#00H</p><p><b>  RET</b></p><p>  AUTO: </p><p>  MOV R0,#08H ;紅綠燈計數(shù)時間</p><p

40、>  MOV R1,#02H ;黃燈計數(shù)時間</p><p>  LJMP AUTO_CTRL</p><p><b>  RET</b></p><p>  AUTO_CTRL:</p><p>  MOV P0,#0EEH ;A道亮紅燈8秒</p><p>

41、<b>  MOV A,R0</b></p><p><b>  MOV R7,A</b></p><p><b>  ARED:</b></p><p>  LCALL DISPLAY ;轉(zhuǎn)到顯示計數(shù)子程序</p><p>  LCALL ONESEC

42、 ;轉(zhuǎn)到1秒延時子程序</p><p>  MOV A,P1 ;判斷K0、K1、K2的狀態(tài),如果有</p><p><b>  ;合上就轉(zhuǎn)到執(zhí)行</b></p><p>  CJNE A,#0FFH,EXIT0</p><p><b>  DEC R7</b></p>

43、<p>  CJNE R7,#00H,ARED</p><p>  MOV P0,#0DBH ;黃燈亮2秒</p><p><b>  MOV A,R1</b></p><p><b>  MOV R7,A</b></p><p><b>  YELL0:<

44、/b></p><p>  LCALL DISPLAY</p><p>  LCALL ONESEC</p><p><b>  MOV A,P1</b></p><p>  CJNE A,#0FFH,EXIT0</p><p><b>  DEC R7</b><

45、/p><p>  CJNE R7, #00H,YELL0</p><p>  MOV P0,#0F5H ;綠燈亮8秒</p><p><b>  MOV A,R0</b></p><p><b>  MOV R7,A</b></p><p><b>  

46、BRED:</b></p><p>  LCALL DISPLAY</p><p>  LCALL ONESEC </p><p><b>  MOV A,P1</b></p><p>  CJNE A, #0FFH,EXIT0</p><p><b>  DEC R7<

47、;/b></p><p>  CJNE R7,#00H,BRED</p><p>  MOV P0,#0DBH ;黃燈亮2秒</p><p><b>  MOV A,R1</b></p><p><b>  MOV R7,A</b></p><p>

48、;<b>  YELL1:</b></p><p>  LCALL DISPLAY</p><p>  LCALL ONESEC</p><p><b>  MOV A,P1</b></p><p>  CJNE A,#0FFH,EXIT0</p><p><b>

49、  DEC R7</b></p><p>  CJNE R7,#00H,YELL1</p><p><b>  EXIT0:</b></p><p><b>  RET</b></p><p>  DISPLAY: ;七段BCD數(shù)碼管時間顯示</p>

50、;<p><b>  MOV A,R7</b></p><p><b>  MOV P2,A</b></p><p><b>  RET</b></p><p>  ONESEC: ;1S延時子程序</p><p>  MOV R6,#2

51、0</p><p>  LOOP: MOV TL0,#0B0H ;輸入初值</p><p>  MOV TH0,#3CH</p><p>  CLR TF0 ;清除溢出標(biāo)志位</p><p>  SETB TR0 ;開始計數(shù)</p><p

52、>  JNB TF0,$ </p><p>  CLR TR0 ;記滿50ms停止</p><p>  DJNZ R6,LOOP ;記滿1000ms(即1S)返回</p><p><b>  RET</b></p><p><

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論