2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩31頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、<p>  EDA(I)課程設(shè)計報告</p><p>  名稱:多功能數(shù)字時鐘</p><p><b>  指導(dǎo)教師:</b></p><p><b>  姓 名: </b></p><p><b>  學(xué) 號:</b></p><p&

2、gt;<b>  院 系:</b></p><p><b>  時 間: </b></p><p><b>  摘要:</b></p><p>  本文是基于Altera公司出品QuartusII軟件以及相應(yīng)的實(shí)驗平臺完成的多功能數(shù)字計時器實(shí)驗,使我們清楚地了解到我們身邊的數(shù)字表的功能是怎

3、樣實(shí)現(xiàn)的。</p><p>  設(shè)計時采用了層次設(shè)計思想,功能逐級遞加,并在封裝時留有很多外圍接口,以備增加其他新功能。實(shí)驗主要包含三個主體----時鐘基本功能電路、鬧鐘電路、萬年歷電路。</p><p>  主體一:主要涉及模60與模24計數(shù)器、動態(tài)顯示控制電路、分頻器主要整點(diǎn)報時電路,</p><p>  這些電路都是以模塊封裝好的,以便其他電路調(diào)用。以計數(shù)器構(gòu)

4、成計時部件,通過分頻器分出的1HZ脈沖計時,調(diào)用動態(tài)顯示電路顯示,通過整點(diǎn)報時電路控制蜂鳴器。在計數(shù)器級聯(lián)時采用內(nèi)部同步外部異步的方式,但通過簡單的改變達(dá)到了同步的效果而且比同步還可靠。顯示控制時為了節(jié)約資源采用動態(tài)原理,自編了VHDL語言的24選4數(shù)據(jù)選擇器。</p><p>  主體二:主要涉及模60與模24計數(shù)器、顯示控制電路、14-7同或門。以模60與模24計數(shù)器構(gòu)成定時與存儲電路,調(diào)用動態(tài)顯示控制電路顯

5、示,通過14-7同或門和后續(xù)與門控制蜂鳴器。</p><p>  主體三:主要涉及模100、模12與模30計數(shù)器、動態(tài)顯示電路。以計數(shù)器構(gòu)成計時部件,調(diào)用動態(tài)顯示電路顯示。</p><p>  最后由于功能中涉及的開關(guān)太多,我們采用了兩種復(fù)用原理,給出了兩種復(fù)用思想。</p><p>  關(guān)鍵字:層次設(shè)計 多功能數(shù)字時鐘 同步 整點(diǎn)報時 鬧鐘 萬年歷 VHDL語言

6、</p><p><b>  Summary:</b></p><p>  This text is according to Altera company’s QuartusII software and homologous experiment terrace completed multi-function number timer experiment,

7、make us clearly understand the function of number form how carry out.</p><p>  While designing we adopted the layer designs thought, the function pursues class gradually increase, and stay a lot of outer cir

8、cles to connect while sealing to pack to fully increase other new functions. The experiment mainly includes three corpuses----The clock basic function electric circuit, alarm clock electric circuit, ten thousand calendar

9、 electric circuits.</p><p>  Corpus one: Mainly involve a mold 60 with mold 24 count machine, dynamic state to show a control electric circuit, cent repeatedly machine , a little bit whole tell the time elec

10、tric circuit,</p><p>  These electric circuits are all packed with mold piece okay, for the purpose of other electric circuits adjust to use. With count machine composing to account parts, pass a cent repeat

11、edly the machine divides of when the 1 HZ pulse accounts adjust to show that the electric circuit suggests and passes a little bit whole buzzer that tell the time an electric circuit control with the dynamic state. BE co

12、unting the way that the machine class adopted synchronously external different step in the inner</p><p>  Corpus two: Mainly involve a mold 60 with mold 24 count machine, show control electric circuit, 14-7

13、 together or door. With mold 60 with mold 24 count machine composing in fixed time with saving electric circuit, adjust to show control electric circuit to suggest with the dynamic state, pass 14-7 together or door and f

14、ollow-up and door control buzzer.</p><p>  Corpus three: Mainly involve a mold 100, mold 12 with mold 30 count a machine, dynamic state to show electric circuit. With count the machine composing accounts par

15、ts, adjust to show that the electric circuit shows with the dynamic state.</p><p>  Finally because of too many switch involving in the function, we adopted 2 kinds to reply to use principle and gave 2 kinds

16、 reply to use thought.</p><p>  Key word: The layer designs、multi-function digital clock、Synchronous、</p><p>  A little bit whole tell the time, alarm clock、 ten thousand calendars,、The language

17、 of VHDL</p><p><b>  目錄</b></p><p>  設(shè)計說明…………………………………………………………4</p><p>  I.功能說明…………………………………………………………………………………4</p><p>  II.功能簡介………………………………………………………………………

18、…………4</p><p>  III.開關(guān)功能介紹(兩種方案)……………………………………………………………4</p><p>  二.方案論證 …………………………………………………… 5</p><p>  I.總體方案分析…………………………………………………………………………5</p><p>  II. 電路的工作原理………………

19、…………………………………………………… 6</p><p>  各子模塊設(shè)計原理 ……………………………………………8</p><p>  I.脈沖分頻模塊 …………………………………………………………………………8</p><p>  II.時鐘計時模塊(包含校定和清零功能) ………………………………………………10</p><p> 

20、 III.顯示控制電路…………………………………………………………………………14</p><p>  IV.顯示模塊和計時模塊結(jié)合…………………………………………………………… 18</p><p>  V.整點(diǎn)報時模塊………………………………………………………………………… 18</p><p>  VI.鬧鐘模塊………………………………………………………………

21、……………… 20</p><p>  VII.萬年歷模塊…………………………………………………………………………… 24</p><p>  調(diào)試與仿真…………………………………………………… 27</p><p>  I.脈沖發(fā)生模塊………………………………………………………………………… 27</p><p>  II.計時電路 ……

22、……………………………………………………………………… 28</p><p>  III.仿真…………………………………………………………………………………… 29</p><p>  五.結(jié)論…………………………………………………………………29</p><p>  六.實(shí)驗感想 …………………………………………………………30</p><

23、p><b>  設(shè)計說明</b></p><p><b>  I.功能說明:</b></p><p>  利用QuartusII軟件設(shè)計一個數(shù)字鐘,對設(shè)計電路進(jìn)行功能仿真,并下載到SmartSOPC實(shí)驗系統(tǒng)中,可以完成00:00:00到23:59:59的計時功能,并在控制電路的作用下具有保持、清零、快速校時、快速校分、整點(diǎn)報時等功能。本電路

24、在原有基礎(chǔ)上進(jìn)行了拓展,具備以下功能:</p><p>  ①. 能進(jìn)行正常的時、分、秒計時功能;</p><p>  ②. 鎖死功能--鎖死狀態(tài)下時鐘保持不變;</p><p> ?、? 整點(diǎn)報時功能---當(dāng)時鐘計到59’53”時開始報時,在59’53”, 59’55”,59’57” 時報時頻率為512Hz,59’59”時報時頻率為1KHz, ;</p&g

25、t;<p> ?、? 清零功能—-時鐘計時電路和萬年歷電路;</p><p> ?、荩?快速校時,校分功能---校定時其他計時電路保持;</p><p> ?、蓿?鬧表功能—-定時精確到分,鬧鐘設(shè)計響一分鐘,可關(guān)閉;</p><p> ?、撸?萬年歷---可顯示XX年XX月XX日,可快速校定到正確的日期;</p><p>&l

26、t;b>  II.功能簡介</b></p><p>  本電路具有三種不同的工作狀態(tài)(同步工作),可通過模式鍵進(jìn)行切換(可通過LED1、LED2觀察當(dāng)前的模式)。</p><p>  a、 正常計時狀態(tài)(K7、K8都為一):此狀態(tài)下數(shù)碼管顯示當(dāng)前的時間,K1為校分開關(guān),K2為校時開關(guān),K4為清零開關(guān),校分、校時都是以2HZ的信號進(jìn)行快速到位的。</p>&

27、lt;p>  b、 鬧表狀態(tài)(K7=1、K8=0):此狀態(tài)下數(shù)碼管顯示當(dāng)前鬧定的時間,K1為設(shè)定分鐘的開關(guān),K2為設(shè)定小時開關(guān),清零開關(guān)無用-- K4。</p><p>  c、 萬年歷狀態(tài)(K7=X、K8=1):此狀態(tài)下數(shù)碼管顯示當(dāng)前的年月日,K1為設(shè)定日期開關(guān),K2為設(shè)定月開關(guān),K3為設(shè)定年開關(guān)、K4為清零開關(guān)。</p><p>  d、 鎖死狀態(tài)(K5=0):此狀態(tài)下所有

28、電路都保持原狀態(tài)(不給電路送脈沖)。</p><p>  III.開關(guān)功能介紹(兩種方案)</p><p>  a.簡單復(fù)用(方便,易操作,但開關(guān)太多—7個)</p><p><b>  各種操作的開關(guān)狀態(tài)</b></p><p><b>  b.帶計數(shù)器的復(fù)用</b></p><

29、;p>  通過上面的介紹我們可以清楚的看到電路總共有10種工作狀態(tài),但這里要把鎖死狀態(tài)單獨(dú)考慮,所以總共我們就可以通過控制一個摸十或摸九的計數(shù)器,再把結(jié)果輸送給一個數(shù)據(jù)分配器,在不同數(shù)值時把開關(guān)狀態(tài)輸送到不同的控制口,計數(shù)器數(shù)值可以通過LED燈觀測。這樣我們總共使用三個開關(guān)就可以控制整個電路----鎖死鍵、模式鍵、控制鍵。(具體電路見下面分析)</p><p><b>  方案論證:</b&

30、gt;</p><p><b>  I.總體方案分析:</b></p><p>  整個電路有三大主體電路:1. 控制電路,2.脈沖電路,3,功能電路</p><p>  時鐘電路首先要有輸入脈沖,由于平臺提供了脈沖發(fā)生器,就省去了脈沖發(fā)生器的設(shè)計,這里我們只需要設(shè)計一個分頻器,得到我們需要的頻率。時鐘的計時范圍是00:00:00---23:5

31、9:59,所以我們需要設(shè)計模六十和模二十四的計數(shù)器組成時鐘計時電路。為了顯示當(dāng)前時鐘時間,我們需要一個顯示電路。校分、校時、清零電路只需要輸入一些控制信號給時鐘計時電路即可,當(dāng)然這些控制信號是由開關(guān)提供的。要實(shí)現(xiàn)整點(diǎn)報時功能,一個報時控制電路是必不可少的。這是只含基本功能的時鐘電路所包含的子電路。</p><p>  為了實(shí)現(xiàn)我們加入的鬧鐘功能,我們需要一個鬧鐘時間設(shè)定電路;鬧鐘時間保持電路;比較電路;蜂鳴器控制

32、電路;鬧表時間顯示電路。因為我們只含有一個數(shù)碼顯示器,一般狀態(tài)下顯示的是時鐘,所以我們需要一個顯示模式切換電路。萬年歷電路需要由計時電路提供計時脈沖,脈沖輸送給一個由模30、模12、模100級聯(lián)而成計時電路。同樣萬年歷電路需要一個時間調(diào)整電路、顯示電路、顯示模式切換電路。</p><p>  通過以上分析我們可以得到如下框架圖:</p><p><b>  圖1 電路結(jié)構(gòu)圖&l

33、t;/b></p><p>  II. 電路的工作原理</p><p>  電路總圖如下(電路進(jìn)行了封裝):</p><p><b>  圖2 電路總圖</b></p><p>  首先由分頻器把原來的48MHZ的脈沖用進(jìn)行分頻得到1Khz、500hz、2hz、1hz的脈沖。1hz的脈沖送到時鐘計時電路秒位的cl

34、k輸入口;秒位的進(jìn)位信號和2hz脈沖通過開關(guān)的選擇輸送到分位電路的時鐘輸入口,前者用于正常計時,后者由于校分時;分位的進(jìn)位信號和2hz脈沖通過開關(guān)的選擇輸送到時位電路的時鐘輸入口,前者用于正常計時,后者由于校時。把三個計數(shù)器的輸出輸送給一個24選4的數(shù)據(jù)選擇器,六組分別為秒個位、秒十位、分個位、分十位、時個位、時十位。數(shù)據(jù)選擇器輸入信號由一個模八的計數(shù)器提供,這個模八的計數(shù)器的輸出同時送給一74138譯碼器。數(shù)據(jù)選擇器的輸出送入7447

35、段譯碼器的輸入口,74138的的輸出由于選擇顯示器的位,7447的輸出用于段的顯示,這樣就實(shí)現(xiàn)了動態(tài)顯示。至于整點(diǎn)報時電路我們把要蜂鳴器響的時間通過卡諾圖化簡,得到最簡的邏輯函數(shù)式,再把邏輯函數(shù)式通過電路實(shí)現(xiàn),滿足要求才把脈沖信號輸送給蜂鳴器。</p><p>  鬧鐘電路:首先通過選擇是否向分位和十位電路送脈沖來設(shè)定時間,當(dāng)兩個電路都無脈沖則電路保持當(dāng)前的數(shù)字,輸出端上的電平不在改變,把這個電平信號與時鐘計時電

36、路中的對應(yīng)位輸送到一個雙輸入的同或門,再把14個與門的輸出與起來,在與1khz的脈沖與其來送入蜂鳴器。鬧表各位的動態(tài)顯示原理與上面相同。</p><p>  萬年歷電路:其正常狀態(tài)的脈沖是由時鐘計數(shù)器時位的進(jìn)位信號提供的,在調(diào)整日期時,時鐘信號是通過開關(guān)選擇一個2hz脈沖輸送到各個電路時鐘端的。清零開關(guān)的輸入信號輸送到各個計數(shù)器的清零端即可。萬年歷各位的動態(tài)顯示原理與上面相同。</p><p&

37、gt;  最后由于有三組輸出信號要顯示,我們通過顯示模式控制開關(guān)選擇要顯示的狀態(tài)信號。</p><p>  以上的各種狀態(tài)下的控制鍵通過模式的選擇進(jìn)行了復(fù)用。模式狀態(tài)切換的連個按鍵的輸入同時輸送到兩個LED燈,通過觀察燈的狀態(tài)我們就可以判斷當(dāng)前的模式。</p><p>  三. 各子模塊設(shè)計原理</p><p><b>  I.脈沖分頻模塊</b&

38、gt;</p><p>  平臺提供給我們的是48Mhz的脈沖,由于電路的需要,我們要把48Mhz的脈沖分頻得到1Khz(蜂鳴器、動態(tài)顯示)、500hz(蜂鳴器)、2hz(快速調(diào)整)、1hz(時鐘計數(shù))的脈沖。</p><p><b>  電路結(jié)構(gòu)圖如下:</b></p><p>  圖3 分頻器電路結(jié)構(gòu)圖</p><p&

39、gt;<b>  a.三分頻電路</b></p><p><b>  圖4</b></p><p>  本電路采用清零的方法把模16的計數(shù)器轉(zhuǎn)換為模三的計數(shù)器,輸出即為三分頻后的脈沖。</p><p><b>  b.48分頻電路</b></p><p><b>  

40、圖5</b></p><p>  把一個模16計數(shù)器和模3的計數(shù)器級聯(lián)(16×3=48)即可得到模48的計數(shù)器,輸出即為48分頻后的脈沖。</p><p>  c.1000分頻電路</p><p><b>  圖 6</b></p><p>  把三個模10的計數(shù)器級聯(lián)起來(10×10&#

41、215;10=1000),就可以得到一個1000的分頻器,由于做的是分頻器考慮的主體是脈沖電平變化率的問題,對一個周期里電平什么時候改變要求并不是很嚴(yán)格,所以這里為了避免冒險未采用芯片的進(jìn)位信號作為下一級芯片的時鐘信號,而是采用把的值送到時鐘端。</p><p><b>  分頻器的總電路圖:</b></p><p><b>  圖7</b>&l

42、t;/p><p>  最終從48MHZ的脈沖我們得到1Khz(蜂鳴器、動態(tài)顯示)、500hz(蜂鳴器)、2hz(快速調(diào)整)、1hz(時鐘計數(shù))的脈沖。</p><p>  其芯片封裝結(jié)果如下:</p><p><b>  圖 8</b></p><p><b>  II.時鐘計時模塊</b></p

43、><p>  數(shù)字鐘的計時電路包括秒位、分位、時位三部分。其中秒位與分位均為60進(jìn)制計時,時位為24進(jìn)制計時。這樣我們只需設(shè)計模60和模24兩種計數(shù)器即可。秒向分進(jìn)位,分向時進(jìn)位,這樣把兩個模60和一個模24計數(shù)器級聯(lián)就可以得到時鐘的計時電路。</p><p><b>  a.模60計數(shù)器</b></p><p><b>  電路圖:&l

44、t;/b></p><p><b>  圖9</b></p><p>  為了防止冒險我們采用同步的方法設(shè)計計數(shù)器(1、同步時鐘;2、同步置數(shù))。把進(jìn)位信號送入使能端ENT(高電平有效),當(dāng)?shù)谝黄嫈?shù)到1001(9)時,ENT端變成高電平,但74160是上升沿觸發(fā)的,此時clk無上升沿第二片不計數(shù),當(dāng)CLK的上升沿到來,第一片回到0000狀態(tài),第二片計數(shù)器的值增

45、加1。第一片要設(shè)計為模10計數(shù)器,第二片要設(shè)計成模6計數(shù)器,因為74160本身就是模10計數(shù)器,所以第一片不要加別的控制電路。第二片我們把Qc、Qa與非起來送入同步置數(shù)端LDN,當(dāng)?shù)诙挠嫈?shù)值為0101(5)時,LDN端低電平,但74160是同步置數(shù)的而且是上升沿有效,此時無上升沿不置數(shù),當(dāng)CLK的上升沿到來第一片會到0000狀態(tài),第二片置數(shù)為0000,這樣計數(shù)器就實(shí)現(xiàn)了00000000----01011001的計數(shù)范圍。</p

46、><p>  與外部的聯(lián)系我們設(shè)置了6個端口,一個輸出端(進(jìn)位信號),五個輸入端(保持、清零、脈沖切換、脈沖一、脈沖二),下面對6個端口一一分析:①保持:我們把開關(guān)接到ENP端正常狀態(tài)開關(guān)低電平,信號經(jīng)過非門變成高電平,送入ENP(高電平有效)端,當(dāng)開關(guān)變成高電平時,ENP端變成低電平,使能端無效此時停止計數(shù),從而達(dá)到保持的目的。②、清零:與保持端相似。③脈沖1、脈沖2、脈沖切換開關(guān):當(dāng)脈沖切換開關(guān)為低電平時CLK2

47、與其與后為低電平,其非與CLK1與后還是CLK1,兩個與門的結(jié)果或起來為CLK1,當(dāng)脈沖切換開關(guān)為高電平時,同樣的分析此時選中CLK2 ④進(jìn)位端:把Q1a、Q1d、Q2c、Q2a與非后當(dāng)做進(jìn)位信號,及計數(shù)值為01011001(59)時進(jìn)位。為什么選用非信號在總時鐘電路時在分析。</p><p><b>  封裝后如下:</b></p><p><b>  

48、圖10</b></p><p><b>  b.模24計數(shù)器</b></p><p><b>  電路圖:</b></p><p><b>  圖11</b></p><p>  其基本思路與模60相差無幾,差別只是在置數(shù)時不同,第一片在0—20連個階段不要置數(shù)自己

49、回0,但計到23時要置為0,需要外部控制電路。所以我們要把Q1b、Qa1、Q2b(00100011—23)與非起來,把此信號作為置數(shù)信號。</p><p><b>  封裝后如下:</b></p><p><b>  圖 12</b></p><p>  c.時鐘計數(shù)電路(包含控制電路)</p><p&

50、gt;<b>  圖 13</b></p><p>  計數(shù)電路:脈沖由分頻器提供,外部的總電路采用的是異步計數(shù)法(但達(dá)到了同步的效果),把進(jìn)位信號連接起來。秒位芯片CLC1接1HZ脈沖CLC2不接,分位芯片CLC1接秒位的進(jìn)位信號脈沖CLC2接2HZ脈沖---用于校分,時位芯片CLC1接分位的進(jìn)位信號脈沖CLC2接2HZ脈沖----用于校時。由于進(jìn)位信號是經(jīng)過非后送出的,所以未到進(jìn)位數(shù)值時

51、為高電平,當(dāng)達(dá)到進(jìn)位制時低電平。當(dāng)秒位為0—58時,SCI為高,當(dāng)秒位為59時,SCI為低,此時出現(xiàn)下降沿,分的計數(shù)器不計數(shù),當(dāng)秒位由59—變?yōu)?時,SCI又變?yōu)楦唠娖?,出現(xiàn)上升沿,觸發(fā)分位的計數(shù)器,分位計數(shù)器加1,從而達(dá)到與同步計數(shù)方法相同的效果,而且不會出現(xiàn)冒險,這也就是為什么把原來的進(jìn)位信號非后再送出的原因,分向秒進(jìn)位分析方法相同。</p><p>  控制電路:總共有三個外接開關(guān)---清零、校分、校時。由

52、于校分和校時切換的是脈沖開關(guān)的顫抖可能被芯片誤認(rèn)為是計數(shù)脈沖,從而造成不穩(wěn)定,所以在這兩個開關(guān)上加了防抖動裝置(D觸發(fā)器)。</p><p><b>  圖 14</b></p><p>  時鐘端接的是2HZ脈沖,D觸發(fā)器的功能是輸入端是什么輸出端便是什么,但需要上升沿去觸發(fā),沒有上升沿即使輸入端改變輸出端也不變,當(dāng)按下開關(guān)時發(fā)生顫抖(很短暫)這時無上升沿,輸出端不

53、改變,當(dāng)開關(guān)穩(wěn)定后而且上升沿也到來了輸出端改變。為了達(dá)到消顫的目的同時又不造成開關(guān)的延時這里我們引入的脈沖是2HZ。</p><p>  清零:把開關(guān)直接接到三個計數(shù)器芯片的清零端即可。</p><p>  校分:開關(guān)值接到分位的K,為了達(dá)到校分時其他的保持這里還要把開關(guān)的值接到其他兩個芯片的保持信號輸入端。</p><p>  校時:同校分的原理一樣。</p

54、><p>  由于秒位在校分和校時時都要保持,所以要把兩個保持信號或其來。</p><p>  III.顯示控制電路</p><p><b>  結(jié)構(gòu)圖:</b></p><p><b>  圖15</b></p><p><b>  電路圖:</b><

55、;/p><p><b>  圖16</b></p><p>  電路原理:本電路完成的是動態(tài)顯示,動態(tài)顯示不同于以往的靜態(tài)顯示,所謂靜態(tài)顯示,即每一個數(shù)碼管由單獨(dú)的七段顯示譯碼器驅(qū)動,如要顯示N位數(shù),必需用N個七段顯示譯碼器。和靜態(tài)顯示不同,動態(tài)顯示使用數(shù)據(jù)選擇器的分時復(fù)用功能,將任意多位數(shù)碼管的顯示驅(qū)動,由一個七段顯示譯碼器來完成。這樣即節(jié)省了器件,又提高了效率。<

56、;/p><p>  主體是一個24選4的數(shù)據(jù)選擇器,路選信號是由一個模8計數(shù)器提供的,這樣在一個周期里空余兩個路選信號,由于用的是動態(tài)原理,信號停留時間很短一個周期1/1000秒,這樣人眼根本看不出。24選4的輸出送到7447段譯碼器,以便在數(shù)碼管上顯示相應(yīng)數(shù)值。模8計數(shù)器的輸出同時送到位選控制電路,由一個74138譯碼器構(gòu)成,以便在任意時間只有一個位有效,這樣在任意的一個時間段里由7447提供段顯信號,74138提

57、供位顯信號,從而達(dá)到動態(tài)顯示的目的。</p><p>  這里只有24選4芯片是自己設(shè)計的,其他的軟件都提供了。</p><p>  a.24選4數(shù)據(jù)選擇器的設(shè)計</p><p>  這里我們是自己依據(jù)實(shí)驗參考書里的mux數(shù)據(jù)選擇器的VHDL語句編寫的。</p><p><b>  語句如下:</b></p>

58、<p>  library ieee;</p><p>  use ieee.std_logic_1164.all;//聲明庫</p><p>  entity MUX24 is port</p><p>  (SL1,SL2,SL3,SL4:in std_logic;</p><p>  SH1,SH2,SH3,SH4:in

59、std_logic;</p><p>  ML1,ML2,ML3,ML4:in std_logic;</p><p>  MH1,MH2,MH3,MH4:in std_logic;</p><p>  HL1,HL2,HL3,HL4:in std_logic;</p><p>  HH1,HH2,HH3,HH4:in std_logic;

60、</p><p>  A1,A2,A3 :in std_logic; </p><p>  D1,D2,D3,D4 :out std_logic);//定義輸入輸出端口</p><p>  end MUX24;</p><p>  architecture P of MUX24 is</p><p>

61、  SIGNAL SL:std_logic_vector(3 downto 0);</p><p>  SIGNAL SH:std_logic_vector(3 downto 0);</p><p>  SIGNAL ML:std_logic_vector(3 downto 0);</p><p>  SIGNALMH:std_logic_vector(3 dow

62、nto 0);</p><p>  SIGNALHL:std_logic_vector(3 downto 0);</p><p>  SIGNALHH:std_logic_vector(3 downto 0);</p><p>  SIGNALD:std_logic_vector(3 downto 0);</p><p>  SIGNA

63、L SEL:std_logic_vector(2 downto 0);//定義數(shù)組范圍</p><p><b>  begin</b></p><p>  SL<=SL1&SL2&SL3&SL4;</p><p>  SH<=SH1&SH2&SH3&SH4;</p>&l

64、t;p>  ML<=ML1&ML2&ML3&ML4;</p><p>  MH<=MH1&MH2&MH3&MH4;</p><p>  HL<=HL1&HL2&HL3&HL4;</p><p>  HH<=HH1&HH2&HH3&HH4;&l

65、t;/p><p>  SEL<=A1&A2&A3; //把相應(yīng)的數(shù)值賦給對應(yīng)的數(shù)組</p><p>  with SEL select //用SEL的值作為選擇信號</p><p>  D<=SL when "000",

66、 //SEL(即輸入A1、A2、A3)為000時輸出秒低位</p><p>  SH when "100",</p><p>  ML when "010",</p><p>  MH when "110",</p><p>  HL when "001&quo

67、t;,</p><p>  HH when "101",</p><p>  "0000" when others;</p><p>  D1<=D(3) ; //把數(shù)組D的值賦給對應(yīng)的輸出端口</p><p><b>  

68、D2<=D(2);</b></p><p><b>  D3<=D(1);</b></p><p><b>  D4<=D(0);</b></p><p><b>  end P;</b></p><p><b>  封裝:</b&

69、gt;</p><p><b>  圖17</b></p><p>  如外我們還用已有芯片設(shè)計了一個24選4的數(shù)據(jù)選擇器:</p><p><b>  圖 18</b></p><p>  其封裝結(jié)果與VHDL語言編的基本相似。</p><p>  IV.顯示模塊和計時模塊

70、結(jié)合</p><p><b>  電路圖:</b></p><p><b>  圖19</b></p><p><b>  封裝:</b></p><p><b>  圖 20</b></p><p><b>  V.整點(diǎn)

71、報時模塊</b></p><p>  要求:當(dāng)時鐘計到59’53”時開始報時,在59’53”, 59’55”,59’57” 時報時頻率為512Hz,59’59”時報時頻率為1KHz。</p><p> ?。?12hz&(59’53”+ 59’55”+59’57”)+1000hz&59’59”)</p><p>  ==59’&50

72、”(512hz&(3”+ 5”+7”)+1000hz&9”)</p><p><b>  圖 21</b></p><p>  3+5+7由卡諾圖化簡得:QaQb+QaQc</p><p>  所以上式可以化簡為:59’&50”(512hz&(QslaQslb+QslaQslc)+1000hz&QslaQ

73、sld)</p><p><b>  電路圖:</b></p><p><b>  圖 22</b></p><p><b>  封裝:</b></p><p><b>  圖 23</b></p><p><b>  V

74、I.鬧鐘模塊</b></p><p>  鬧鐘模塊分為四個部分:鬧鐘定時和定分模塊、鬧鐘時間存儲模塊、比較模塊和鬧鐘顯示模塊。</p><p>  鬧鐘有一個存儲電路(由兩個計數(shù)器組成),計數(shù)器由兩個開關(guān)控制,用來對鬧鐘的分鐘和時鐘設(shè)定,當(dāng)開關(guān)都打到不送脈沖的狀態(tài),兩個計數(shù)器都保持,從而達(dá)到存儲的目的。比較電路用來比較鬧鐘時間和時鐘計時器時間,當(dāng)它們一致時,輸出為1,這樣可以驅(qū)

75、動報時模塊。最后,利用顯示電路將鬧鐘的時間設(shè)定輸出。要使鬧鐘起到提醒作用,還要有鈴聲,這里用1khz脈沖作為蜂鳴器的信號源。</p><p><b>  a.定時和存儲模塊</b></p><p><b>  圖 24</b></p><p>  電路主要由一個模60(分位)和一個模24(時位)計數(shù)器組成(時鐘計時電路已設(shè)

76、計),外圍兩個開關(guān)只是用來控制是否把2HZ的脈沖送入兩個計數(shù)器的時鐘端。開關(guān)DMK為一時2HZ脈沖送入模60計數(shù)器,計數(shù)器計數(shù),計到要設(shè)定的值,開關(guān)轉(zhuǎn)換為低電平,脈沖消失,計數(shù)器保持。開關(guān)DHK的作用與DMK的作用相似。</p><p><b>  b.比較模塊</b></p><p><b>  圖 25</b></p><

77、p>  由14個同或門組成,比較的電平是SL1—----HH2,不包含HH3 、 HH4,因為時鐘時位的高位范圍是0—2,轉(zhuǎn)換為2進(jìn)制是0000----0010,高兩位始終為零。當(dāng)兩組輸入電平對應(yīng)位都相等時所有輸出都為高電平。</p><p><b>  封裝:</b></p><p><b>  圖 26</b></p>&

78、lt;p><b>  c.顯示模塊 </b></p><p>  這里也使用動態(tài)顯示原理,直接調(diào)用已經(jīng)做好的芯片display,即可實(shí)現(xiàn)功能,這里就不再重復(fù)敘述了。</p><p>  d.鬧鐘總電路(包含已有功能)</p><p>  各個模塊的位置如圖中標(biāo)注所示。為了整合時鐘計時模塊和鬧鐘模塊,圖加了兩個電路,一個是顯示器的切換電路

79、,一個是蜂鳴器的切換電路。</p><p><b>  ①顯示器的切換電路</b></p><p><b>  圖 28</b></p><p>  位切換是把兩種模式下的位信號或其來送到顯示器的,能這樣做是由于我們給兩個display電路引入的是相同的1KHZ的脈沖,所以位信號是相同的,或其來主要是為了保險。段切換時,我

80、們需要一個模式鍵(CMOD1)控制,開關(guān)信號為1時所用雙號與門工作,送出的是鬧鐘相應(yīng)位的段信息,當(dāng)開關(guān)信號為0時,所有單號的與門工作,送出是時鐘相應(yīng)位的段信息。</p><p><b>  ②蜂鳴器的控制電路</b></p><p><b>  圖 29</b></p><p>  前面的兩個與門是為了得到鬧鐘的蜂鳴器信號

81、,把所有同或門的比較輸出和1KHZ脈沖與其來,便實(shí)現(xiàn)了此功能。后面的一個或門是把鬧鐘的蜂鳴器信號和時鐘整點(diǎn)報時功能的蜂鳴器信號通過后面的一個或門送到蜂鳴器。</p><p>  鬧鐘總電路(包含已有功能)的封裝:</p><p><b>  圖 30</b></p><p><b>  VII.萬年歷模塊</b></

82、p><p>  本萬年歷可以顯示當(dāng)前年月日(年只能顯示后兩位)。以時鐘計時電路中時位的進(jìn)位信號作為時鐘輸入端,萬年歷的年位,月位,日位分別為模100、12、30的計數(shù)器,但置位時月位,日位不能回零,因為沒有0月0日,所以這兩個計數(shù)器置位時要置回1,這是與時鐘計時電路不同的地方,其他設(shè)計基本一致。顯示還是調(diào)用已編好的模塊display,在顯示切換時雨鬧鐘和時鐘顯示切換的方法相同,就不重復(fù)了。與時鐘計時電路相同的是,在進(jìn)

83、行日起調(diào)整時,開關(guān)也要加消顫電路。</p><p>  a.各種計數(shù)器的電路</p><p>  圖 31 模100計數(shù)器</p><p>  圖 32 模12計數(shù)器</p><p>  圖 33 模30計數(shù)器</p><p><b>  封裝如下:</b></p><p&g

84、t;  b.萬年歷電路(包含已有功能)</p><p><b>  圖 34</b></p><p>  日期調(diào)整電路的設(shè)計與時鐘調(diào)整電路基本一致這里也不重復(fù)敘述了。各個電路的位置如圖中標(biāo)注。</p><p><b>  封裝如下:</b></p><p><b>  圖 35</

85、b></p><p>  最后的總圖如第二部分所示</p><p><b>  調(diào)試與仿真</b></p><p><b>  I.脈沖發(fā)生模塊</b></p><p>  脈沖發(fā)生器由1000分頻器和48分頻器構(gòu)成。</p><p><b>  a.48分頻

86、器</b></p><p><b>  時序圖:</b></p><p>  由48分頻電路(第3部分)仿真時序波形圖可以看出輸入波形周期為10ns,輸出波形周期為480ns,輸出周期為輸入周期480倍且占空比為1/3。</p><p><b>  b.1000分頻器</b></p><p&

87、gt;<b>  時序圖:</b></p><p>  由1000分頻電路仿真時序波形圖可以看出輸入波形周期為10ns,(圖中標(biāo)注的是輸出時脈沖的頻率)輸出波形中2分頻周期為20us,占空比為1/2,10分頻周期為100 us,占空比2/5, 100分頻周期為1000 us,占空比2/5, 500分頻周期為5000 us,占空比有兩個分別為1/3和1/2, 1000分頻周期為10000us,

88、占空比2/5。</p><p><b>  II.計時電路</b></p><p><b>  秒位</b></p><p><b>  b.分位</b></p><p><b>  c.時位</b></p><p><b&g

89、t;  III.仿真</b></p><p>  首先要進(jìn)行管腳的分配,選擇“Assingnment—〉Pins”,總電路管腳分配如下圖示:</p><p>  管腳分配保存好后,選擇“Assingnment—>Device”,點(diǎn)擊“Device and Pin Option…”,選擇“Unused Pins”頁中“Reserve all unused pins:”選項中

90、的“As input tri-stated”選項,將未用引腳設(shè)為三態(tài)輸入,重新編譯。點(diǎn)擊工具欄上的 (Programmer)按鈕,點(diǎn)擊“Hardware Setup”按鈕,在“Currently selected hardware”中選擇“ByteBlaster[LPT1]”。最后選擇要下載的文件,點(diǎn)擊“Start”即可下載程序。下載完畢即可在模擬試驗箱上觀察結(jié)果。</p><p><b>  結(jié)論

91、</b></p><p>  本次實(shí)驗由于我們不知最后能實(shí)現(xiàn)哪些功能,所以在設(shè)計思路上我們采用了層次設(shè)計思路,每設(shè)計一步都留有很多接口以便下面的設(shè)計,功能是逐級遞加的。但這樣弊病是我們不可能把所有的問題都考慮到,在想修改時很麻煩,修改一步下面的都得改變,想要完善前面的功能同樣很復(fù)雜。這為以后積累了經(jīng)驗,在考慮問題是應(yīng)全面,采用當(dāng)前流行的設(shè)計思想模塊化設(shè)計。</p><p>  

92、經(jīng)過四天的試驗時間我們完成了時鐘的基本功能,并且附加了鬧表和萬年歷功能。</p><p><b>  實(shí)驗感想:</b></p><p>  試驗中由于一開始在計數(shù)器級聯(lián)時用的是同步信號,導(dǎo)致外圍輔助電路很多,從而造成了冒險,電路出現(xiàn)計數(shù)不穩(wěn)定現(xiàn)象,后來把外部級聯(lián)時采用異步控制法,異步時鐘信號經(jīng)過了簡單處理,都是經(jīng)過一個非門才送出的,達(dá)到了與同步相同的效果。</

93、p><p>  實(shí)驗是對耐心的考驗,特別是在出錯時,進(jìn)過四天的實(shí)驗,鍛煉了自己的耐力,是對自己的一種提升。</p><p>  希望可以把實(shí)驗改成開放新實(shí)驗,老師介紹完實(shí)驗設(shè)備和軟件,然后由學(xué)生自由發(fā)揮。</p><p>  憑自己的創(chuàng)造力設(shè)計出自己中意的作品。這樣不但會增加學(xué)生的積極性而且會照顧到不同層次的學(xué)生。</p><p><b&g

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論