2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩10頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、<p><b>  EDA技術課程設計</b></p><p>  課題: 可控計數(shù)器的設計 </p><p>  班級: XXXX 學號: XXXXXXXX  </p><p>  學生姓名: XXX </p><p&

2、gt;  指導老師: XXX </p><p><b>  目 錄</b></p><p>  一、課題————————————————————————————1</p><p>  1.1.可控計數(shù)器的設計————————————————————1</p><p&g

3、t;  二、課程設計目的————————————————————————1</p><p>  三、一般設計要求————————————————————————1</p><p>  四、實驗原理及模擬結構框圖———————————————————2</p><p>  4.1實驗原理————————————————————————2</p><

4、p>  4.2模擬框圖————————————————————————2</p><p>  五、電路總體設計————————————————————————2</p><p>  5.1可控計數(shù)器設計流程———————————————————2</p><p>  5.2各個模塊————————————————————————3</p><

5、;p>  六、系統(tǒng)的源程序(VHDL)————————————————————4</p><p>  6.1計數(shù)環(huán)節(jié)————————————————————————4</p><p>  6.2掃描單元————————————————————————5</p><p>  6.3數(shù)碼管譯碼———————————————————————6</p>

6、<p>  七.程序的調試分析與仿真————————————————————6</p><p>  7.1計數(shù)器單元——————————————————————--6</p><p>  7.2掃描單元————————————————————————7</p><p>  7.3數(shù)碼管譯碼———————————————————————7</p>

7、<p>  八、設計總結——————————————————————————8</p><p>  九、參考文獻——————————————————————————9</p><p><b>  一、課題</b></p><p>  1.1.可控計數(shù)器的設計</p><p><b>  設計要求:

8、 </b></p><p> ?、僭O計一個五進制計數(shù)器,由兩個控制鍵sel控制不同的計數(shù)方式;</p><p> ?、诋攕el=00時,按0、1、2、3、4、0、1、2、3、4……順序計數(shù);</p><p> ?、郛攕el=01時,按0、2、4、6、8、0、2、4、6、8……順序計數(shù);</p><p> ?、墚攕el=10時,

9、按1、3、5、7、9、1、3、5、7、9……順序計數(shù);</p><p> ?、莓攕el=11時,按5、4、3、2、1、5、4、3、2、1……順序計數(shù);</p><p> ?、抻蓴?shù)碼管分別譯碼顯示控制信號和計數(shù)狀態(tài),分別用3位數(shù)碼管動態(tài)顯示;</p><p> ?、呓o出VHDL設計的源程序</p><p><b>  二、課程設計目的

10、</b></p><p>  《EDA》課程設計地一項重要的實踐性教育環(huán)節(jié),是學生在校期間必須接受的一項工程訓練。在課程設計的過程中,在教室的指導下,運用工程的方法,通過一個簡單的課題的設計練習,可是學生通過綜合的系統(tǒng)設計,熟悉應用系統(tǒng)的設計過程、設計要求、完成的工作內容和具體的設計方法,了解必須提交的各項工程文件,也達到鞏固、充實和綜合運用所學的只是解決實際問題的目的。</p><

11、;p>  通過課程設計,應能加強學生如下能力的培養(yǎng):</p><p> ?。?)獨立工作能力和創(chuàng)造力;</p><p>  (2)綜合運用專業(yè)及基礎知識,解決實際工程即使問題的能力;</p><p> ?。?)查閱圖書資料、產品手冊和各種工具書的能力;</p><p> ?。?)工程繪圖的能力;</p><p>

12、 ?。?)編寫技術報告和編制技術資料的能力。</p><p><b>  三、一般設計要求</b></p><p> ?。?)獨立完成設計任務</p><p> ?。?)繪制系統(tǒng)硬件總框圖 </p><p> ?。?)繪制系統(tǒng)原理電路圖</p><p>  (4)制定編寫設計方案,編制軟件框圖,完

13、成詳細完整的程序清單和注釋</p><p> ?。?)制定編寫調試方案,編寫用戶操作使用說明書</p><p> ?。?)寫出設計工作小結。對在完成以上文件過程所進行的有關步驟如設計思想、指標論證、方案確定、參數(shù)計算、元器件選擇、原理分析等做出說明,并對所完成的設計作出評價,對自己整個設計工作中經驗教訓,總結收獲和今后研修方向。</p><p>  四、實驗原理及模

14、擬結構框圖</p><p><b>  4.1實驗原理</b></p><p>  計數(shù)器是一種多功能的電子測量儀器。它利用電子學的方法測出一定時間內輸入的脈沖數(shù)目,并將結果以數(shù)字形式顯示出來??煽匚暹M制計數(shù)器是每五個脈沖信號向前進一位,且當控制端不同時,產生的進位輸出不同。再利用數(shù)碼管顯示相應的數(shù)值。</p><p>  首先利用進程p1來實

15、現(xiàn)4種模式的計數(shù)功能,由信號sel來控制選擇具體是哪種模式;再利用進程p2來掃描6個數(shù)碼管,而其中3個用來顯示計數(shù)值,3個用來顯示控制信號的值;最后通過進程p3來對數(shù)碼管進行驅動譯碼,將相應的五進制數(shù)值利用數(shù)碼管體現(xiàn)出來。如圖1、及圖5所示進行相關設計。</p><p><b>  4.2模擬框圖</b></p><p><b>  圖1.模擬結構框圖<

16、;/b></p><p><b>  五、電路總體設計</b></p><p>  5.1可控計數(shù)器設計流程</p><p><b>  圖2.設計流程</b></p><p><b>  5.2各個模塊</b></p><p>  (1)計數(shù)器單

17、元模塊</p><p><b>  圖3計數(shù)器單元模塊</b></p><p>  CLK是計時時鐘,SEL計數(shù)模式控制信號,Y接收cnt的計數(shù)值。它利用電子學的方法測出一定時間內輸入的脈沖數(shù)目,并將結果以數(shù)字形式顯示出來??煽匚暹M制計數(shù)器是每五個脈沖信號向前進一位,且當控制端不同時,產生的進位輸出不同。</p><p><b>  

18、(2)掃描單元</b></p><p><b>  圖4掃描單元模塊</b></p><p>  CLK是計時時鐘,SEL計數(shù)模式控制信號,CK掃描時鐘, duan代表6個數(shù)碼管。利用掃描脈沖掃描6個數(shù)碼管,而其中3個用來顯示計數(shù)值,3個用來顯示控制信號的值。</p><p><b> ?。?)數(shù)碼管譯碼</b>

19、;</p><p><b>  圖5數(shù)碼管譯碼模塊</b></p><p>  CLK是計時時鐘,SEL計數(shù)模式控制信號,CK掃描時鐘, duan代表6個數(shù)碼管,sm是數(shù)碼管的七段是數(shù)碼管數(shù)字七段,他主要用來對數(shù)碼管進行驅動譯碼,將相應的五進制數(shù)值利用數(shù)碼管體現(xiàn)出來。</p><p>  六、系統(tǒng)的源程序(VHDL)</p>&l

20、t;p><b>  6.1計數(shù)環(huán)節(jié)</b></p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all; </p><p>  use ieee.std_logic_unsigned.all;</p><p>  entity sheji3 is<

21、;/p><p>  port(clk,ck:in std_logic;--clk是計數(shù)時鐘,ck是掃描時鐘 </p><p>  sel:in std_logic_vector(1 downto 0);--計數(shù)模式控制信號 </p><p>  sm:out std_logic_vector(6 downto 0);---數(shù)碼管的七段 </p>

22、<p>  duan:out std_logic_vector(5 downto 0));--6個數(shù)碼管 </p><p>  end sheji3;</p><p>  architecture art of sheji3 is</p><p>  signal cnt:std_logic_vector(3 downto 0);--信號cnt用來計數(shù)

23、</p><p>  signal temp:integer range 0 to 5; </p><p>  signal x: std_logic_vector(3 downto 0);--數(shù)碼管動態(tài)顯示的值</p><p>  signal y: std_logic_vector(3 downto 0);--接收cnt的計數(shù)值 </p><

24、p><b>  Begin</b></p><p>  p1:process(clk)--進程p1主要用來實現(xiàn)4種模式的計數(shù)功能,由信號sel來控制選擇具體是哪種模式</p><p><b>  begin </b></p><p>  if(clk'event and clk='1')t

25、hen </p><p>  case sel is</p><p>  when "00"=>if(cnt>="0100")then </p><p>  cnt<="0000"; </p>

26、;<p>  else cnt<=cnt+1; </p><p><b>  end if;</b></p><p>  when "01"=>if(cnt>="1000" or cnt="0001" or cnt="0011&quo

27、t; or cnt="0101" or cnt="0111")then</p><p>  cnt<="0000"; </p><p>  else cnt<=cnt+2; </p><p><b>  end if;</b

28、></p><p>  when "10"=>if(cnt>="1001" or cnt="0000" or cnt="0010" or cnt="0100" or cnt="0110" or cnt="1000")then</p><p

29、>  cnt<="0001"; </p><p>  else cnt<=cnt+2; </p><p><b>  end if;</b></p><p>  when "11"=>if(cnt>"0101" or cnt="

30、0001" or cnt="0000")then</p><p>  cnt<="0101"; </p><p>  else cnt<=cnt-1; </p><p><b>  end if;</b></p><p>  when

31、others=>cnt<="1111";</p><p><b>  end case;</b></p><p><b>  y<=cnt;</b></p><p><b>  end if;</b></p><p>  end proce

32、ss p1;</p><p><b>  6.2掃描單元</b></p><p>  p2:process(ck) --進程p2主要用來掃描6個數(shù)碼管,其中3個用來顯示計數(shù)值,3個用來顯示控制信號的值</p><p><b>  begin </b></p><p>  if(ck'even

33、t and ck='1') then </p><p>  case temp is</p><p>  when 0=>duan<="000001"; </p><p><b>  x<=y; </b></p><p>  when 1=>duan&

34、lt;="000010"; </p><p>  x<=y; </p><p>  when 2=>duan<="000100"; </p><p><b>  x<=y; </b></p><p>  when 3=>duan&

35、lt;="001000"; </p><p>  x<="00"&sel; </p><p>  when 4=>duan<="010000"; </p><p>  x<="00"&sel; </p><

36、;p>  when others=>duan<="100000"; </p><p>  x<="00"&sel;</p><p>  end case; </p><p>  temp<=temp+1; </p><p><b>  end if

37、;</b></p><p>  end process p2;</p><p><b>  6.3數(shù)碼管譯碼</b></p><p>  p3:process(x) --進程p3主要用來對數(shù)碼管進行驅動譯碼</p><p><b>  begin </b></p><

38、;p><b>  case x is</b></p><p>  when "0000"=>sm<="1111110"; </p><p>  when "0001"=>sm<="0110000"; </p><p>  when

39、"0010"=>sm<="1101101"; </p><p>  when "0011"=>sm<="1111001"; </p><p>  when "0100"=>sm<="0110011"; </p><

40、p>  when "0101"=>sm<="1011011"; </p><p>  when "0110"=>sm<="1011111"; </p><p>  when "0111"=>sm<="1110000"; <

41、/p><p>  when "1000"=>sm<="1111111"; </p><p>  when "1001"=>sm<="1111011"; </p><p>  when others=>sm<="0000000";&

42、lt;/p><p>  end case; </p><p>  end process p3; </p><p><b>  end art;</b></p><p>  七.程序的調試分析與仿真</p><p><b>  7.1計數(shù)器單元</b></p>&l

43、t;p>  利用相關軟件max+plus進行仿真,觀察波形是否正確,在計數(shù)時種下,觀察sel分別在00,01,10,11進行的五進制的計數(shù),通過以上圖形可知,y在sel選擇不同的控制方式時,輸出的值是符合條件的。當sel=00時,按0、1、2、3、4、0、1、2、3、4……順序計數(shù);當sel=01時,按0、2、4、6、8、0、2、4、6、8……順序計數(shù);當sel=10時,按1、3、5、7、9、1、3、5、7、9……順序計數(shù);當se

44、l=11時,按5、4、3、2、1、5、4、3、2、1……順序計數(shù);</p><p><b>  圖6計數(shù)器單元仿真</b></p><p><b>  7.2掃描單元</b></p><p>  通過圖形可以看出,在每個掃描時鐘下當temp=0,duan<="000001"; temp=1,dua

45、n="000010"; temp=2,duan="0000100"; temp=3,duan="001000"; temp=4,duan="010000"; temp>=4,duan="100000";根據(jù)圖形是符合條件的。 </p><p><b>  圖7掃描

46、單元仿真</b></p><p><b>  7.3數(shù)碼管譯碼</b></p><p>  根據(jù)x的值,表現(xiàn)出數(shù)碼管的七段的亮暗顯示出該數(shù)碼管的值</p><p>  X="0000",sm="1111110"; 數(shù)碼管顯示:0</p><p>  X="00

47、01",sm<="0110000";數(shù)碼管顯示:1 </p><p>  X="0010",sm<="1101101";數(shù)碼管顯示:2 </p><p>  X="0011",sm<="1111001";數(shù)碼管顯示:3</p><p> 

48、 X="0100",sm<="0110011";數(shù)碼管顯示:4</p><p>  X="0101",sm<="1011011";數(shù)碼管顯示:5 </p><p>  X="0110",sm<="1011111";數(shù)碼管顯示:6</p>

49、<p>  X="0111",sm<="1110000";數(shù)碼管顯示:7</p><p>  X="1000",sm<="1111111";數(shù)碼管顯示:8</p><p>  X="1001",sm<="1111011";數(shù)碼管顯示:9 &

50、lt;/p><p>  X=others,sm<="0000000";數(shù)碼管顯示:0</p><p>  設計仿真時,存在延時(如圖8.1),不便觀察,所以,又給sel依次賦值"00","01""10""11"仿真得到如下圖8.2至圖8.5四圖,結果便于觀察,符合設計要求。</p&g

51、t;<p>  圖8.1數(shù)碼管譯碼仿真("sel"為"00""01""10""11")</p><p>  圖8.1數(shù)碼管譯碼仿真("sel"為"00")</p><p>  圖8.1數(shù)碼管譯碼仿真("sel"為&qu

52、ot;01")</p><p>  圖8.1數(shù)碼管譯碼仿真("sel"為"10")</p><p>  圖8.1數(shù)碼管譯碼仿真("sel"為"11")</p><p><b>  八、設計總結</b></p><p>  為期一周的

53、課程設計結束了,從開始茫然,到有些頭緒,再到對所選課題、所設計內容了然于胸,這期間,確實讓我收獲了很多。</p><p>  首先,便是認識到缺乏很多最基本的書本知識:上課并沒有非常認真的我,</p><p>  在這次設計的開始,我非常茫然,深感所學知識的不足,對硬件描述語言VHDL的不熟悉,對EDA開發(fā)工具軟件MAX+PlusⅡ的操作使用不嫻熟,以及設計意識的缺乏。</p>

54、<p>  但是經過翻看書本,上網(wǎng)查閱相關資料,以及詢問老師不懂的問題,漸漸地,我對我們這組的課題——“可控計數(shù)器的設計”有了較明確的設計思路,于是我和我們小組的一些成員共同研究相關代碼,借助于一些資料的參考,經過對初步設計的代碼的檢查和排查,最終得到了滿足設計要求的代碼。并通過仿真,得到了和預期一樣、符合設計要求的仿真圖形。</p><p>  課程設計過程中,我學會了很多細枝末節(jié)但很重要的知識點

55、,如:如何更快更好地使用用MAX+PlusⅡ仿真軟件,做出電路框圖;VHDL語句中的庫定義、端口說明定義、數(shù)據(jù)類型定義、進程語句的使用、賦值語句的使用等;7段數(shù)碼管的掃描與譯碼顯示原理;印象最深的便是數(shù)據(jù)類型定義里的“std_logic”為“標準邏輯位”,取值為“0” “1”“ Z”“ X”,而“std_logic_vector”稱為“標準邏輯矢量”。這些細小的知識點,往往是設計能否成功不可或缺的部分。</p><p

56、>  這次課程設計的最大收獲,除了學習和鞏固了相關知識外,更讓我明白了許多:只要全身心投入到一件事中,并且有持之以恒的決心,有團隊合作精神,那就一定會有所收獲!</p><p>  最后我想說:通過這次的課程設計,我會繼續(xù)學好相關知識,提高自身專業(yè)素質,更感謝老師在設計中給與我的幫助與孜孜不倦的教誨,讓我有信心繼續(xù)拓展學習更多的專業(yè)知識!</p><p><b>  九、參

57、考文獻</b></p><p>  [1] 潘松,黃繼業(yè).EDA技術實用教程.北京:科學出版社,2002</p><p>  [2] 謝云.現(xiàn)代電子技術實踐課程指導.北京:國防工業(yè)出版社,2003</p><p>  [3] 修文.實用電子電路設計制作300例.中國電力出版社,2004</p><p>  [4] 沈明山.EDA技

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論