2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩20頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b>  目 錄</b></p><p>  摘 要………………………………………………………………………………………1</p><p>  Abstract……………………………………………………………………………………1</p><p>  1概述………………………………………………………………………………………

2、1</p><p>  1.1 DDS技術(shù)簡(jiǎn)介……………………………………………………………………1</p><p>  1.2系統(tǒng)概述…………………………………………………………………………2</p><p>  1.3主要技術(shù)及性能指標(biāo)……………………………………………………………2</p><p>  2總體設(shè)計(jì)………………………………

3、…………………………………………………2</p><p>  2.1系統(tǒng)框圖…………………………………………………………………………2</p><p>  2.2工作原理…………………………………………………………………………2</p><p>  3系統(tǒng)方案論證……………………………………………………………………………3</p><p> 

4、 4系統(tǒng)所用主要器件介紹…………………………………………………………………4</p><p>  4.1 AD9850芯片介紹………………………………………………………………4</p><p>  4.2 AT89C51芯片簡(jiǎn)介………………………………………………………………7</p><p>  5硬件電路……………………………………………………………………………

5、……9</p><p>  5.1鍵盤(pán)掃描及顯示電路模塊………………………………………………………9</p><p>  5.2 AD9850波形產(chǎn)生電路模塊…………………………………………………10</p><p>  6軟件設(shè)計(jì)…………………………………………………………………………………12</p><p>  6.1程序流程……………

6、……………………………………………………………12</p><p>  6.2鍵盤(pán)及顯示部分程序……………………………………………………………12</p><p>  6.3 AD9850波形產(chǎn)生程序…………………………………………………………14</p><p>  7設(shè)計(jì)的制作與調(diào)試………………………………………………………………………14</p>

7、<p>  7.1硬件調(diào)試…………………………………………………………………………14</p><p>  7.2 軟件調(diào)試……………………………………………………………………14</p><p>  8結(jié)束語(yǔ)…………………………………………………………………………………15</p><p>  參考文獻(xiàn)…………………………………………………………………

8、………………15</p><p>  致謝………………………………………………………………………………………16</p><p>  附錄………………………………………………………………………………………17</p><p><b>  DDS信號(hào)源設(shè)計(jì)</b></p><p>  摘 要:直接數(shù)字合成(DDS)是一種重

9、要的頻率合成技術(shù),具有分辨率高、頻率變換快等優(yōu)點(diǎn),在雷達(dá)及通信等領(lǐng)域有著廣泛的應(yīng)用。本文介紹了一種直接利用DDS(直接數(shù)字頻率合成器)芯片AD9850及單片機(jī)AT89C51組成的簡(jiǎn)單的DDS信號(hào)發(fā)生器的設(shè)計(jì),討論了頻率和相位控制字的計(jì)算方法以及標(biāo)準(zhǔn)正弦信號(hào)、調(diào)頻信號(hào)的產(chǎn)生方法,給出了相應(yīng)的硬件電路和軟件流程。</p><p>  關(guān)鍵詞:直接數(shù)字頻率合成;AT89C51;AD9850;信號(hào)發(fā)生器</p>

10、;<p>  Design of the Signal Source Based on DDS</p><p>  Abstract: Direct digital synthesis is a kind of important technique on frequency synthesis. It has many advantages, such as higher frequency re

11、solution, faster frequency transform, and so on. It is widely used in radar, communication and many other fields. This paper presents the design of a simple DDS signal generator which consists of AD9850 and AT89C51.discu

12、sses the method of controlling word with frequency and phase, as well as the way of generating standard sinusoidal signal and frequency m</p><p>  Key words: Direct digital synthesis;AT89C51;AD9850;Signal ge

13、nerator</p><p><b>  1概述</b></p><p>  1.1 DDS技術(shù)簡(jiǎn)介</p><p>  近年來(lái),隨著頻率合成技術(shù)廣泛應(yīng)用于現(xiàn)代無(wú)線電通信和電子技術(shù)的各個(gè)方面,DDS技術(shù)得到了迅猛發(fā)展。目前頻率合成主要有三種方法:(1)采用傳統(tǒng)的直接頻率合成器對(duì)模擬信號(hào)進(jìn)行頻率合成,其優(yōu)點(diǎn)是頻率合成速度快、噪聲低;缺點(diǎn)是需要以

14、引入大量分頻、倍頻、混頻和濾波電路為代價(jià),使電路復(fù)雜、體積龐大、成本高,電路調(diào)試?yán)щy。而且,這種設(shè)計(jì)方法只能實(shí)現(xiàn)標(biāo)準(zhǔn)波形的頻率合成,而對(duì)于我們所要求的對(duì)標(biāo)準(zhǔn)波形的線性疊加卻無(wú)法實(shí)現(xiàn)[1]。(2)采用鎖相環(huán)式頻率合成器對(duì)模擬信號(hào)進(jìn)行頻率合成,其優(yōu)點(diǎn)是避免了使用大量的分頻、倍頻和濾波電路,使電路大大減化;缺點(diǎn)是頻率轉(zhuǎn)換時(shí)間較長(zhǎng),無(wú)法實(shí)現(xiàn)高精度的高頻合成;同時(shí)通過(guò)模擬手段產(chǎn)生的信號(hào)幅度、頻率等參數(shù)很不穩(wěn)定,并且電路無(wú)法實(shí)現(xiàn)對(duì)標(biāo)準(zhǔn)波形的線性疊加

15、功能[2]。(3)采用直接數(shù)字頻率合成技術(shù)(DDS)產(chǎn)生波形,其優(yōu)點(diǎn)是簡(jiǎn)單可靠、控制方便,具有很高的頻率分辨率和轉(zhuǎn)換速度,非常適合快速頻率轉(zhuǎn)換技術(shù)的要求。使用了數(shù)字信號(hào),克服了模擬信號(hào)冗余量大不易處理的缺陷,使標(biāo)準(zhǔn)波形的線性疊加過(guò)程簡(jiǎn)化為對(duì)浮點(diǎn)數(shù)字的運(yùn)算過(guò)程,使得通過(guò)程序現(xiàn)實(shí)標(biāo)準(zhǔn)波形的線性疊加成為可能。其缺點(diǎn)是輸出信號(hào)中含有大量雜散譜線</p><p>  DDS技術(shù)可以產(chǎn)生頻率快速轉(zhuǎn)換、分辨率高、相位可控的信號(hào)

16、,使得DDS技術(shù)在電子測(cè)量、雷達(dá)系統(tǒng)、調(diào)頻通信、電子對(duì)抗等領(lǐng)域具有十分廣泛的應(yīng)用。本設(shè)計(jì)采用AT89C51單片機(jī)控制DDS芯片AD9850,外部配以濾波器、波形變換電路,可輸出正弦信號(hào)及方波、鋸齒波,在要求不很高的場(chǎng)合可滿(mǎn)足要求。</p><p><b>  1.2系統(tǒng)概述</b></p><p>  該系統(tǒng)主要由硬件和軟件兩部分組成,以AT89C51單片機(jī)和可編程邏

17、輯器件AD9850為核心,在運(yùn)行時(shí),通過(guò)六位數(shù)碼顯示管直觀的顯示當(dāng)前輸出的波形信號(hào)的頻率。在達(dá)到設(shè)計(jì)基本要求的基礎(chǔ)上,可以通過(guò)進(jìn)一步擴(kuò)展硬件電路和改進(jìn)軟件編程提高系統(tǒng)部分性能。</p><p>  1.3主要技術(shù)及性能指標(biāo)</p><p> ?。?)實(shí)現(xiàn)正弦波、方波、鋸齒波及FM調(diào)制信號(hào)輸出,F(xiàn)M調(diào)制信號(hào)輸出頻偏在0~100KHZ;</p><p> ?。?)信號(hào)輸

18、出幅值(FM和正弦波)為200mv,其余信號(hào)輸出幅值為T(mén)TL電平;</p><p> ?。?)信號(hào)輸出阻抗:50~70Ω。</p><p><b>  2總體設(shè)計(jì)</b></p><p><b>  2.1系統(tǒng)框圖</b></p><p>  系統(tǒng)由單片機(jī)AT89C51、8279芯片、數(shù)碼管、小鍵盤(pán)

19、、AD9850、低通濾波、放大電路模塊組成??驁D如圖1所示[4]:</p><p><b>  2.2工作原理</b></p><p>  本文設(shè)計(jì)的DDS信號(hào)源能產(chǎn)生的頻率為 0Hz~100KHz,可控步長(zhǎng)為 1Hz??刂平缑鏋橐粋€(gè)簡(jiǎn)易的4*4鍵盤(pán)和6個(gè)七段數(shù)碼管,本系統(tǒng)采用8279芯片和74LS164芯片來(lái)完成鍵盤(pán)的自動(dòng)掃描和數(shù)碼管的顯示驅(qū)動(dòng)。而控制中心則采用 A

20、tmel 公司的AT89C51 單片機(jī)來(lái)完成對(duì) AD9850芯片和8279 芯片的控制。在鍵盤(pán)上輸入需要的輸出信號(hào)源頻率值,單片機(jī)將按鍵值通過(guò)程序轉(zhuǎn)化為與AD9850對(duì)應(yīng)的 40bit 的控制字,并送入 AD9850 中,就可以產(chǎn)生所需要的信號(hào)源。AD9850 產(chǎn)生需要頻率的信號(hào),再經(jīng)低通橢圓濾波,放大后就可以作為信號(hào)源使用。</p><p>  圖1 DDS信號(hào)源的設(shè)計(jì)組成框圖</p><

21、p><b>  3系統(tǒng)方案論證</b></p><p>  該系統(tǒng)的硬件電路組成框圖如圖2所示[5]。</p><p>  圖2 硬件電路主要組成框圖</p><p>  該系統(tǒng)通過(guò)8279芯片對(duì)小鍵盤(pán)的輸入進(jìn)行掃描,同時(shí)將掃描到的信號(hào)以十進(jìn)制方式通過(guò)六位七段數(shù)碼管進(jìn)行顯示,并將掃描到的鍵盤(pán)輸入信號(hào)輸入到單

22、 片機(jī)AT89C51,AT89C51根據(jù)接收到的控制信號(hào)對(duì)AD9850發(fā)出控制信號(hào),控制AD9850產(chǎn)生相應(yīng)頻率的正弦波,該正弦波可以直接輸出,同時(shí)如果將該正弦波在經(jīng)過(guò)橢圓濾波器后再輸入到AD9850的同相比較器,可以產(chǎn)生相應(yīng)頻率的方波,該方波可以直接輸出,也可以在經(jīng)過(guò)波形變換電路之后變換成鋸齒波,在本系統(tǒng)中產(chǎn)生的所有

23、波形,都可以在接入射極跟隨器后,直接驅(qū)動(dòng)負(fù)載進(jìn)行工作。</p><p>  由以上可以看出本方案可以產(chǎn)生我們所需要的波形,該方案具有可行性。</p><p>  4系統(tǒng)所用主要器件介紹</p><p>  4.1 AD9850芯片簡(jiǎn)介</p><p>  AD9850是AD公司1996年推出的高集成度DDS頻率合成器。它內(nèi)部包括可編程DDS系

24、統(tǒng)、高性能DAC及高速比較器。它采用先進(jìn)的CMOS工藝,最高時(shí)鐘速率為125MHZ。</p><p>  4.1.1 AD9850的封裝</p><p>  AD9850采用CMOS工藝,其功耗在 3.3V供電時(shí)僅為 155mW,擴(kuò)展工業(yè)級(jí)溫度范圍為-40~80℃,采用28腳 SSOP表面封裝形式。它的實(shí)際引腳排列圖如圖3所示[6]。</p><p>  圖3 A

25、D9850引腳排列圖</p><p>  4.1.2 AD9850的組成</p><p>  AD9850的組成框圖如圖4所示[7]。圖4中層虛線內(nèi)是一個(gè)完整的可編程 DDS系統(tǒng),外層虛線內(nèi)包含了 AD9850的主要組成部分。</p><p>  圖4 AD9850的組成框圖</p><p>  AD9850內(nèi)含可編程 DDS系統(tǒng)和高速比較

26、器,能實(shí)現(xiàn)全數(shù)字編程控制的頻率合成。可編程 DDS系統(tǒng)的核心是相位累加器,它由一個(gè)加法器和一個(gè) N位相位寄存器組成,N一般為 24~32。每來(lái)一個(gè)外部參考時(shí)鐘,相位寄存器便以步長(zhǎng) M遞加。相位寄存器的輸出與相位控制字相加后可輸入到正弦查詢(xún)表地址上。正弦查詢(xún)表包含一個(gè)正弦波周期的數(shù)字幅度信息,每一個(gè)地址對(duì)應(yīng)正弦波中 0°~360°范圍的一個(gè)相位點(diǎn)。查詢(xún)表把輸入地址的相位信息映射成正弦波幅度信號(hào),然后驅(qū)動(dòng) DAC以輸出模

27、式量。 相位寄存器每過(guò) 2N/M個(gè)外部參考時(shí)鐘后返回到初始狀態(tài)一次,相位地正弦查詢(xún)表每消費(fèi)品一個(gè)循環(huán)也回到初始位置,從而使整個(gè) DDS系統(tǒng)輸出一個(gè)正弦波。輸出的正弦波周期To=Tc2N/M,頻率fout=Mfc/2N,Tc、fc分別為外部參考時(shí)鐘的周期和頻率。 AD9850采用 32位的相位累加器將信號(hào)截?cái)喑?14位輸入到正弦查詢(xún)表,查詢(xún)表的輸出再位后輸入到 DAC,DAC再輸出兩個(gè)互補(bǔ)的電流。DAC滿(mǎn)量程輸出電流通過(guò)一個(gè)被截?cái)喑?0外

28、接電阻 RSET調(diào)節(jié),RSET的典型值是 3.9kΩ,調(diào)節(jié)關(guān)系為 ISET=32(1.148V/</p><p>  4.1.3 AD9850系統(tǒng)功能框圖</p><p>  AD9850系統(tǒng)功能圖如圖5所示[8]。</p><p>  圖5 AD9850的系統(tǒng)功能框圖</p><p>  AD9850在接上精密時(shí)鐘源和寫(xiě)入頻率相位控制字之

29、間后就可產(chǎn)生一個(gè)頻率和相位都可編程控制的模擬正弦波輸出,此正弦波可直接用作頻率信號(hào)源或經(jīng)內(nèi)部的高速比較器轉(zhuǎn)換為方波輸出。在 125MHz的時(shí)鐘下,32位的頻率控制字可使 AD9850的輸出頻率分辨率達(dá)0.0291Hz;并具有5位相位控制位,而且允許相位按增量180°、90°、45°、22.5°、11.25°或這些值的組合進(jìn)行調(diào)整 。</p><p>  4.1.4

30、 AD9850的控制字與控制時(shí)序</p><p>  AD9850有40位控制字,32位用于頻率控制,5位用于相位控制。一位用于電源休眠(power down)控制,2位用于選擇工作方式。這40位控制字可通過(guò)并行方式或串行方式輸入到 AD9850,圖 6是控制字并行輸入的控制時(shí)序圖[9]。</p><p>  圖6 AD9850控制字并行輸入時(shí)序</p><p>

31、  在并行裝入方式中,通過(guò) 8位總線A0…D7將可數(shù)據(jù)輸入到寄存器,在重復(fù) 5次之后再在 FQ-UD上升沿把 40位數(shù)據(jù)從輸入寄存器裝入到頻率/相位數(shù)據(jù)寄存器(更新 DDS輸出頻率和相位),同時(shí)把地址指針復(fù)位到第一輸入寄存器。接著在 W-CLK的上升沿裝入8位數(shù)據(jù),并把指針指向下一個(gè)輸入寄存器,連續(xù)5個(gè) W-CLK上升沿后,W-CLK的邊沿就不再起作用,直到復(fù)位信號(hào)或 FQ-UD上升沿把地址指針復(fù)位到第一個(gè)寄存器。在串行輸入方式 W-C

32、LK上升沿把 25引腳的一位數(shù)據(jù)串行移入,當(dāng)移動(dòng) 40位后,用一個(gè) FQ-UD脈沖即可更新輸出頻率和相位。AD9850的復(fù)位(RESET)信號(hào)為高電平有效,且脈沖寬度不小于5個(gè)參考時(shí)鐘周期。它的參考時(shí)鐘頻率一般遠(yuǎn)高于單片機(jī)AD9850頻率,因此 AD9850的復(fù)位(RESET)端可與單片機(jī)的復(fù)位端直接相連。 </p><p>  值得一提的是:用于選擇工作方式的兩個(gè)控制位,無(wú)論并行還是串行最好都寫(xiě)成 00,并行時(shí)

33、的 10、01和串行時(shí)的10、01、11都是工廠測(cè)試用的保留控制字,不慎使用可能導(dǎo)致難以預(yù)料的后果。</p><p>  4.2 AT89C51芯片簡(jiǎn)介</p><p>  圖7 是AT89C51的引腳圖,引腳說(shuō)明如下[10]:</p><p>  圖7 51系列單片機(jī)引腳圖</p><p>  VCC:AT89C51電源正極輸入,接+5V

34、電壓。</p><p>  GND:電源接地端。</p><p>  XTAL1:接外部晶振的一個(gè)引腳。在單片機(jī)內(nèi)部,它是一反相放大器輸入端,這個(gè)放大器構(gòu)成了片內(nèi)振蕩器。它采用外部振蕩器時(shí),些引腳應(yīng)接地。</p><p>  XTAL2: 接外部晶振的一個(gè)引腳。在片內(nèi)接至振蕩器的反相放大器輸出端和內(nèi)部時(shí)鐘發(fā)生器輸入端。當(dāng)采用外部振蕩器時(shí),則此引腳接外部振蕩信號(hào)的輸入

35、。</p><p>  RST: AT89C51 的復(fù)位信號(hào)輸入引腳,高電位工作,當(dāng)要對(duì)芯片又時(shí),只要將此引腳電位提升到高電位,并持續(xù)兩個(gè)機(jī)器周期以上的時(shí)間,AT89C51 便能完成系統(tǒng)復(fù)位的各項(xiàng)工作,使得內(nèi)部特殊功能寄存器的內(nèi)容均被設(shè)成已知狀態(tài)。</p><p>  ALE/PROG: ALE 是英文"ADDRESS LATCH ENABLE"的縮寫(xiě),表示允許地址

36、鎖存允許信號(hào)。當(dāng)訪問(wèn)外部存儲(chǔ)器時(shí),ALE 信號(hào)負(fù)跳變來(lái)觸發(fā)外部的8位鎖存器 (如 74LS373),將端口P0的地址總線(A0-A7)鎖存進(jìn)入鎖存器中。在非訪問(wèn)外部存儲(chǔ)器期間,ALE 引腳的輸出頻率是系統(tǒng)工作頻率的 1/16,因此可以用來(lái)驅(qū)動(dòng)其他外圍芯片的時(shí)鐘輸入。當(dāng)問(wèn)外部存儲(chǔ)器期間,將以1/12振蕩頻率輸出。 </p><p>  EA/VPP:該引腳為低電平時(shí),則讀取外部的程序代碼 (存于外部 EPROM 中

37、)來(lái)執(zhí)行程序。因此在 8051中,EA 引腳必須接低電位,因?yàn)槠鋬?nèi)部無(wú)程序存儲(chǔ)器空間。如果是使用 AT89C51或其它內(nèi)部有程序空間的單片機(jī)時(shí),此引腳接成高電平使程序運(yùn)行時(shí)訪問(wèn)內(nèi)部程序存儲(chǔ)器,當(dāng)程序指針PC 值超過(guò)片內(nèi)程序存儲(chǔ)器地址(如8051/8751/89C51的PC 超過(guò) 0FFFH)時(shí),將自動(dòng)轉(zhuǎn)向外部程序存儲(chǔ)器繼續(xù)運(yùn)行。 此外,在將程序代碼燒錄至 8751 內(nèi)部 EPROM、AT89C51內(nèi)部 FALSH 時(shí),可以利用此引腳來(lái)輸

38、入提供編程電壓(8751為2lV、AT89C51為 12V、8051是由生產(chǎn)廠方一次性加工好)。</p><p>  PSEN:此為"Program Store Enable"的縮寫(xiě)。訪問(wèn)外部程序存儲(chǔ)器選通信號(hào),低電平有效。在訪問(wèn)外部程序存儲(chǔ)器讀取指令碼時(shí),每個(gè)機(jī)器周期產(chǎn)生二次PSEN 信號(hào)。在執(zhí)行片內(nèi)程序存儲(chǔ)器指令時(shí),不產(chǎn)生PSEN信號(hào),在訪問(wèn)外部數(shù)據(jù)時(shí)亦不產(chǎn)生PSEN 信號(hào)。</p&

39、gt;<p>  P0:P0 口(P0.0~P0.7)是一個(gè) 8 位漏極開(kāi)路雙向輸入輸出端口,當(dāng)訪問(wèn)外部數(shù)據(jù)時(shí),它是地址總線(低 8位)和數(shù)據(jù)總線復(fù)用。外部不擴(kuò)展而單片應(yīng)用時(shí),則作一般雙向 I/O 口用。P0 口每一個(gè)引腳可以推動(dòng) 8個(gè)LSTTL負(fù)載。</p><p>  P2:P2 口(P2.0~P2.7)口是具有內(nèi)部提升電路的雙向 I/0 端口(準(zhǔn)雙向I/O 口),當(dāng)訪問(wèn)外部程序存儲(chǔ)器時(shí),它是高

40、8 位地址。外部不擴(kuò)展而單片應(yīng)用時(shí),則作一般雙向 I/O 口用。每一個(gè)引腳可以推動(dòng) 4個(gè)LSTL負(fù)載。</p><p>  P1:P1 口(P1.0~P1.7)口是具有內(nèi)部提升電路的雙向 I/0 端口(準(zhǔn)雙向并行</p><p>  I/O 口),其輸出可以推動(dòng)4個(gè)LSTTL負(fù)載。僅供用戶(hù)作為輸入輸出用的端口。 </p><p>  P3:P3 口(P3.0~P3.

41、7)口是具有內(nèi)部提升電路的雙向 I/0 端口(準(zhǔn)雙向并行 I/O 口),它還提供特殊功能,包括串行通信、外部中斷控制、計(jì)時(shí)計(jì)數(shù)控制及外部隨機(jī)存儲(chǔ)器內(nèi)容的讀取或?qū)懭肟刂频裙δ堋F涮厥夤δ芤_分配如下: </p><p>  P3.0:RXD串行通信輸入 </p><p>  P3.1:TXD串行通信輸出 </p><p>  P3.2:INT0 外部中斷 0輸入,低電

42、平有效 </p><p>  P3.3:INT1 外部中斷 1輸入,低電平有效 </p><p>  P3.4:T0計(jì)數(shù)器 0外部事件計(jì)數(shù)輸入端 </p><p>  P3.5:T1計(jì)數(shù)器 1外部事件計(jì)數(shù)輸入端 </p><p>  P3.6:WR外部隨機(jī)存儲(chǔ)器的寫(xiě)選通,低電平有效 </p><p>  P3.7:RD

43、 外部隨機(jī)存儲(chǔ)器的讀選通,低電平有效</p><p><b>  5硬件電路</b></p><p>  5.1鍵盤(pán)掃描及顯示電路模塊</p><p>  該系統(tǒng)的鍵盤(pán)采用4*4的小按鍵自制鍵盤(pán),利用AT89C51單片機(jī)芯片的P0口對(duì)其進(jìn)行掃描,同時(shí),利用74LS164來(lái)驅(qū)動(dòng)六位七段數(shù)碼管,使其對(duì)鍵盤(pán)掃描結(jié)果進(jìn)行顯示。圖中6個(gè)顯示器采用共陽(yáng)級(jí)L

44、ED,具體電路[11]如圖8,具體參數(shù)見(jiàn)圖上標(biāo)注。</p><p>  圖8 鍵盤(pán)控制顯示電路</p><p>  按鍵與上電復(fù)位電路如圖9所示。</p><p>  圖9 按鍵與上電復(fù)位電路</p><p><b>  外部晶振電路:</b></p><p>  為滿(mǎn)足AT89C51的工作條件

45、,本系統(tǒng)采用將12MHz的外接無(wú)源晶體振蕩器接入到單片機(jī)的XTAL1和XTAL2引腳之間來(lái)提供AT89C51的外部時(shí)鐘信號(hào)。同時(shí),為了使晶振能有穩(wěn)定的頻率并能快速起振,在晶振的兩端并聯(lián)兩個(gè)電容器C2和C3。具體連接電路如下圖10所示:</p><p>  圖10 外部晶振電路</p><p>  5.2 AD9850波形產(chǎn)生電路模塊</p><p>  對(duì)本系統(tǒng)的波

46、形產(chǎn)生模塊,我采用了ATMEL公司的DDS專(zhuān)用芯片AD9850,該芯片可以在單片機(jī)的控制下,自動(dòng)產(chǎn)生頻率與控制信號(hào)相符的正弦信號(hào),同時(shí),它自帶的比較器還可以將正弦信號(hào)轉(zhuǎn)換成同頻率的方波信號(hào)進(jìn)行輸出。在這一部分AT89C51單片機(jī)主要實(shí)施邏輯控制功能:根據(jù)用戶(hù)選擇產(chǎn)生波形,形成頻率字,與上位機(jī)通信等。波形、幅值的控制主要由數(shù)字電位器構(gòu)成,即由AT89C51的2根口線對(duì)其進(jìn)行控制。電路設(shè)計(jì)時(shí),由于AD9850對(duì)時(shí)鐘信號(hào)的質(zhì)量要求比較高,即時(shí)

47、鐘信號(hào)的上升沿和下降沿?zé)o大的尖峰和凹坑,時(shí)鐘信號(hào)必須用地線屏蔽。另外,給AD9850的時(shí)鐘信號(hào)不能低于1 MHz,低于這個(gè)數(shù)值時(shí),芯片將自動(dòng)進(jìn)入休眠狀態(tài);當(dāng)高于此頻率時(shí),系統(tǒng)則恢復(fù)正常。最后還要考慮設(shè)計(jì)良好的去耦電路,去耦電容盡可能靠近器件,并注意良好接地,模擬地和數(shù)字地一定要分開(kāi)等。具體電路如圖11所示,具體參數(shù)見(jiàn)圖上標(biāo)注[12-14]。</p><p>  圖11 波形產(chǎn)生變換電路</p>

48、;<p><b>  波形變換模塊:</b></p><p>  本設(shè)計(jì)采用兩個(gè)限幅二極管與放大器LM386構(gòu)成鋸齒波變換電路。具體電路如下圖12所示。</p><p><b>  圖12波形變換電路</b></p><p><b>  信號(hào)輸出模塊:</b></p>&l

49、t;p>  主要是一個(gè)典型的以晶體管9018為核心構(gòu)成的射極跟隨器,信號(hào)經(jīng)過(guò)這個(gè)射極跟隨器后,能直接驅(qū)動(dòng)負(fù)載。其具體電路如下圖13所示。</p><p><b>  圖13射極跟隨器</b></p><p><b>  6軟件設(shè)計(jì)</b></p><p><b>  6.1程序流程</b><

50、;/p><p>  程序流程圖如下圖14所示:</p><p>  6.2鍵盤(pán)及顯示部分程序</p><p>  從鍵盤(pán)獲得輸入頻率控制字和相位控制字的BCD碼,并把 BCD碼轉(zhuǎn)為二進(jìn)制然后根據(jù)頻率與相位的公式,計(jì)算出頻率與相位的控制字。通過(guò)程序控制,可以逐行對(duì)鍵盤(pán)進(jìn)行掃描。通過(guò)按鍵輸入數(shù)值,并在數(shù)碼管上顯示。具體源程序見(jiàn)附錄一[15]。</p><

51、p>  圖14 程序流程圖</p><p>  6.3 AD9850波形產(chǎn)生程序</p><p>  軟件編程主要是根據(jù) AD9850 的控制字方式,把具有不同功能的控制字寫(xiě)入到芯片內(nèi)部。以并行輸入方式為例 ,對(duì)AD9850 操作的40位控制字各位的功能如表 1 所列。在這種方式下當(dāng)外部參考時(shí)鐘頻率為30MHz的情況下,如果要滿(mǎn)足以下幾種技術(shù)要求。</p><p

52、> ?。?)1 6倍參考時(shí)鐘倍乘器工作;</p><p>  (2)相位置于 11.25°;</p><p>  (3)選擇power2up模式;</p><p> ?。?)輸出信號(hào)頻率為100KHz。</p><p>  40位控制數(shù)據(jù)應(yīng)按如下給出:</p><p><b> ?。?)<

53、;/b></p><p><b> ?。?)</b></p><p>  W0 =00001001; W1 =00001110;</p><p>  W2 =00111000; W3 =11100011;</p><p>  W4 =10001110。</p><p&

54、gt;  由以上數(shù)據(jù)并根據(jù)芯片相應(yīng)的控制方式,在AD9850復(fù)位后,由單片機(jī)給出合適的 W_CLK和FQ_UD 信號(hào),即可通過(guò)簡(jiǎn)單的操作完成預(yù)期的功能。具體源程序見(jiàn)附錄二[4]。</p><p><b>  7設(shè)計(jì)的制作與調(diào)試</b></p><p><b>  7.1硬件調(diào)試</b></p><p>  在這一階段,分別

55、對(duì)硬件電路中的放大電路,顯示電路,波形變換電路,橢圓濾波器,射極跟隨器部分進(jìn)行測(cè)試,以確定硬件電路能夠正常工作。其部分單元電路的具體調(diào)試結(jié)果如下所示。</p><p> ?。?)波形變換部分:輸入信號(hào)為U=1.4V,F(xiàn)=2.38KHz的方波信號(hào)時(shí),輸出為U=1.2V,F(xiàn)=2.38KHz的鋸齒波信號(hào)。除信號(hào)幅度有所衰減外,其他一切指標(biāo)符合設(shè)計(jì)要求。</p><p> ?。?)射極跟隨器部分:

56、輸入信號(hào)為U=0.25V,F(xiàn)=250Hz的正弦波信號(hào)時(shí),輸出為U=0.3V,F(xiàn)=250Hz的正弦波信號(hào),測(cè)試指標(biāo)符合設(shè)計(jì)要求。</p><p><b>  7.2軟件調(diào)試</b></p><p>  程序?qū)懞煤?,用偉福編譯軟件進(jìn)行編譯檢查,沒(méi)有語(yǔ)法錯(cuò)誤。在偉福調(diào)試軟件中再對(duì)程序進(jìn)行單步運(yùn)行,通過(guò)各窗口觀察程序每一步的執(zhí)行結(jié)果,程序工作正常,程序調(diào)試結(jié)束。把調(diào)試好的程序

57、用TOP51編程器寫(xiě)入單片機(jī)AT89C51,然后運(yùn)行整個(gè)系統(tǒng),并修改程序參數(shù)使系統(tǒng)能夠工作在所需要的狀態(tài)。</p><p><b>  8結(jié)束語(yǔ) </b></p><p>  通過(guò)本次DDS信號(hào)源的電路的設(shè)計(jì),我大有收獲。從得到題目到查找資料,從對(duì)題目的研究設(shè)定到PCB電路板的制作,從電路板的調(diào)試到失敗后再一次全部重新開(kāi)始……在這一個(gè)充滿(mǎn)挑戰(zhàn)伴隨挫折的過(guò)程中,我感觸頗

58、深,它已不僅是一個(gè)對(duì)我大學(xué)學(xué)習(xí)掌握知識(shí)情況和實(shí)際動(dòng)手能力的檢驗(yàn),而且也是對(duì)我的鉆研精神,面對(duì)困難的心態(tài),做事的毅力和耐心的的考驗(yàn),我在這個(gè)過(guò)程中深刻感受到了做畢業(yè)設(shè)計(jì)的意義所在。</p><p><b>  參考文獻(xiàn):</b></p><p>  [1] 王玉珍,李袁柳.直接數(shù)字式合成技術(shù)的應(yīng)用[J].計(jì)算機(jī)應(yīng)用,2003,3(1):203.</p>&

59、lt;p>  [2] 柳利軍,周敏鋒.一種簡(jiǎn)單的短波DDS 的設(shè)計(jì)[J].現(xiàn)代電子技術(shù),2002,7(1):84-87. </p><p>  [3] 李江南.一種基于DDS的改進(jìn)信號(hào)合成電路設(shè)計(jì)[J].半導(dǎo)體設(shè)計(jì),2007,5(3):431-436.</p><p>  [4] 沙勝賢,李天宇.直接數(shù)字合成器的設(shè)計(jì)[J].長(zhǎng)春工程學(xué)院學(xué)報(bào)(自然科學(xué)版),2006,5(3):354-

60、358.</p><p>  [5] 張淑清.單片微型計(jì)算機(jī)接口技術(shù)及其應(yīng)用[M].北京:國(guó)防工業(yè)出版社,2001:163-67.</p><p>  [6] 章慧.DDS技術(shù)的原理及AD9850的應(yīng)用[J].西北民族大學(xué)學(xué)報(bào)(自然科學(xué)版),2005,(03):35-38.</p><p>  [7] L J Kuslmer.The composite DDS-A

61、new direct digitals synthesizer architecture[J].IEEE Proc,AFCS,1993:255-260.</p><p>  [8] 操長(zhǎng)茂.基于AD9850的數(shù)控信號(hào)發(fā)生器極其應(yīng)用[J].高等函授學(xué)報(bào)(自然科學(xué)版),2002,7(5):33.</p><p>  [9] B A Artwick.Micromputer Interfacing

62、[J].Prentice-Hall,Inc,1980:77-108.</p><p>  [10] Santa Clara.Microprocessor and peripheral handbook[M]. Intel Corporation,1988:58-65.</p><p>  [11] 李全利.單片機(jī)原理及接口技術(shù)[M].北京:高等教育出版社.2004:50-80.</p

63、><p>  [12] 肖漢波.一種基于DDS芯片AD9850的信號(hào)源[J].電訊技術(shù),2003,(02). </p><p>  [13] 陳大欽.電子技術(shù)基礎(chǔ)實(shí)驗(yàn)[M].北京:高等教育出版社,2003:91-93.</p><p>  [14] Davide,Johnson,Johnl Hilburn.Rapid Practical Designs of Activ

64、e Filters[J].JOHN WILEY.INC,1975:203-246.</p><p>  [15] A Technical Tutorial on Digital Signal Synthesis[J].Datasheet of Analog Devices,1999.</p><p><b>  致謝</b></p><p> 

65、 在整個(gè)畢業(yè)設(shè)計(jì)過(guò)程中,指導(dǎo)教師xx老師傾注了大量的心血,從選題到開(kāi)題報(bào)告,以及設(shè)計(jì)中的具體問(wèn)題,他都嚴(yán)格把關(guān)、循循善誘。同時(shí),導(dǎo)師淵博的知識(shí)、開(kāi)闊的思路、高度的責(zé)任感與嚴(yán)謹(jǐn)?shù)闹螌W(xué)作風(fēng)更是我學(xué)習(xí)的典范,在此向?qū)熤乱宰畛绺叩木匆夂妥钫\(chéng)摯的感謝!同時(shí)我還要感謝在我學(xué)習(xí)期間給我極大關(guān)心和支持的各位老師以及關(guān)心和幫助過(guò)我的同學(xué)和朋友。</p><p><b>  附錄</b></p>

66、<p>  ; P3.4為八位74HC164輸入P3.5為時(shí)鐘; 50,51,52,53,54,55,56,57存顯示緩存 bcd碼; 3D,3E,3F,40,41頻率緩存 AD9850contrl 字;端口定義;74hc164DAT EQU P3.4CLK EQU P3.5;ad9850DATA7 EQU P3.3w_clk EQU P3.7FQ_UD EQU P3.2;除法地址dbuf06 equ

67、 20hdbuf08 equ 3dhdbuf10 equ 70horg 0000hljmp start;初始化start:mov 50h,#0h ;顯示頻率置初值 可以自選 十進(jìn)制,單位Hzmov 51h,#1hmov 52h,#0hmov 53h,#0hmov 54h,#0hmov 55h,#0hmov 56h,#0hmov 57h,#0hlcall SETUP_AD9850lcall value<

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論