2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩18頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、<p><b>  目 錄</b></p><p>  1 技術(shù)要求及系統(tǒng)結(jié)構(gòu) ……………………………………………………1</p><p>  1.1技術(shù)要求 ……………………………………………………………1</p><p>  1.2系統(tǒng)結(jié)構(gòu) ……………………………………………………………1</p><p>

2、;  2設(shè)計(jì)方案及工作原理………………………………………………………2</p><p>  2.1 算法設(shè)計(jì)…………………………………………………………… 2</p><p>  2.2 工作原理…………………………………………………………… 3</p><p>  3組成電路設(shè)計(jì)及其原理…………………………………………………… 6</p><p

3、>  3.1時(shí)基電路設(shè)計(jì)及其工作原理 ……………………………………… 6</p><p>  3.2閘門電路設(shè)計(jì) ……………………………………………………… 7</p><p>  3.3控制電路設(shè)計(jì) ……………………………………………………… 8</p><p>  3.4小數(shù)點(diǎn)控制電路 …………………………………………………… 9</p>&

4、lt;p>  3.5整體電路…………………………………………………………… 10</p><p>  3.6 元件清單……………………………………………………………10</p><p>  4設(shè)計(jì)總結(jié)……………………………………………………………………11</p><p>  參考文獻(xiàn) …………………………………………………………………… 11</p&g

5、t;<p>  附錄1…………………………………………………………………………12</p><p>  附錄2…………………………………………………………………………17</p><p><b>  摘 要</b></p><p>  簡易數(shù)字頻率計(jì)是一種用四位十進(jìn)制數(shù)字顯示被測信號(hào)頻率(1Hz—100KHz)的數(shù)字測量儀器.它

6、的基本功能是測量正弦波,方波,三角波信號(hào),有四個(gè)檔位(×1,×10,×100,×1000),并能使用數(shù)碼管顯示被測信號(hào)數(shù)據(jù),本課程設(shè)計(jì)講述了數(shù)字頻率計(jì)的工作原理以及其各個(gè)組成部分,記述了在整個(gè)設(shè)計(jì)過程中對(duì)各個(gè)部分的設(shè)計(jì)思路、對(duì)各部分電路設(shè)計(jì)方案的選擇、元器件的篩選、以及在設(shè)計(jì)過程中的分析,以確保設(shè)計(jì)出的頻率計(jì)成功測量被測信號(hào)。</p><p>  關(guān)鍵詞:簡易數(shù)字頻率計(jì)

7、十進(jìn)制 信號(hào)頻率 數(shù)碼管 工作原理 </p><p><b>  技術(shù)要求及結(jié)構(gòu)</b></p><p>  本設(shè)計(jì)可以采用中、小規(guī)模集成芯片設(shè)計(jì)制作一個(gè)具有下列功能的數(shù)字頻率測量儀。</p><p><b>  1.1技術(shù)要求</b></p><p> ?、?要求測量頻率范圍1Hz-100

8、KHz,量程分為4檔,即×1、×10、×100、×1000。</p><p> ?、?要求被測量信號(hào)可以是正弦波、三角波和方波。</p><p> ?、?要求測試結(jié)果用數(shù)碼管表示出來,顯示方式為4位十進(jìn)制。</p><p><b>  1.2 系統(tǒng)結(jié)構(gòu)</b></p><p>

9、  數(shù)字頻率計(jì)的整體結(jié)構(gòu)要求如圖1-1所示。圖中被測信號(hào)為外部信號(hào),送入測量電路進(jìn)行處理、測量,檔位轉(zhuǎn)換用于選擇測試的項(xiàng)目------頻率、周期或脈寬,若測量頻率則進(jìn)一步選擇檔位。</p><p>  圖1-1 數(shù)字頻率計(jì)系統(tǒng)結(jié)構(gòu)框圖</p><p>  2 設(shè)計(jì)方案及工作原理</p><p><b>  2.1 算法設(shè)計(jì)</b></

10、p><p>  頻率是周期信號(hào)每秒鐘內(nèi)所含的周期數(shù)值??筛鶕?jù)這一定義采用如圖2-1所示的算法。圖2-2是根據(jù)算法構(gòu)建的方框圖。</p><p><b>  被測信號(hào)</b></p><p>  圖2-2 頻率測量算法對(duì)應(yīng)的方框圖</p><p>  在測試電路中設(shè)置一個(gè)閘門產(chǎn)生電路,用于產(chǎn)生脈沖寬度為1s的閘門信號(hào)。改閘門信

11、號(hào)控制閘門電路的導(dǎo)通與開斷。讓被測信號(hào)送入閘門電路,當(dāng)1s閘門脈沖到來時(shí)閘門導(dǎo)通,被測信號(hào)通過閘門并到達(dá)后面的計(jì)數(shù)電路(計(jì)數(shù)電路用以計(jì)算被測輸入信號(hào)的周期數(shù)),當(dāng)1s閘門結(jié)束時(shí),閘門再次關(guān)閉,此時(shí)計(jì)數(shù)器記錄的周期個(gè)數(shù)為1s內(nèi)被測信號(hào)的周期個(gè)數(shù),即為被測信號(hào)的頻率。測量頻率的誤差與閘門信號(hào)的精度直接相關(guān),因此,為保證在1s內(nèi)被測信號(hào)的周期量誤差在10 ?³量級(jí),則要求閘門信號(hào)的精度為10 ??量級(jí)。例如,當(dāng)被測信號(hào)為1kHz

12、時(shí),在1s的閘門脈沖期間計(jì)數(shù)器將計(jì)數(shù)1000次,由于閘門脈沖精度為10 ??,閘門信號(hào)的誤差不大于0.1s,固由此造成的計(jì)數(shù)誤差不會(huì)超過1,符合5*10 ?³的誤差要求。進(jìn)一步分析可知,當(dāng)被測信號(hào)頻率增高時(shí),在閘門脈沖精度不變的情況下,計(jì)數(shù)器誤差的絕對(duì)值會(huì)增大,但是相對(duì)誤差仍在5*10 ?³范圍內(nèi)。</p><p>  但是這一算法在被測信號(hào)頻率很低時(shí)便呈現(xiàn)出嚴(yán)重的缺點(diǎn),例如,當(dāng)被測信號(hào)

13、為0.5Hz時(shí)其周期是2s,這時(shí)閘門脈沖仍未1s顯然是不行的,故應(yīng)加寬閘門脈沖寬度。假設(shè)閘門脈沖寬度加至10s,則閘門導(dǎo)通期間可以計(jì)數(shù)5次,由于數(shù)值5是10s的計(jì)數(shù)結(jié)果,故在顯示之間必須將計(jì)數(shù)值除以10.</p><p><b>  2.2 工作原理</b></p><p>  輸入電路:由于輸入的信號(hào)可以是正弦波,三角波。而后面的閘門或計(jì)數(shù)電路要求被測信號(hào)為矩形波,

14、所以需要設(shè)計(jì)一個(gè)整形電路則在測量的時(shí)候,首先通過整形電路將正弦波或者三角波轉(zhuǎn)化成矩形波。在整形之前由于不清楚被測信號(hào)的強(qiáng)弱的情況。所以在通過整形之前通過放大衰減處理。當(dāng)輸入信號(hào)電壓幅度較大時(shí),通過輸入衰減電路將電壓幅度降低。當(dāng)輸入信號(hào)電壓幅度較小時(shí),前級(jí)輸入衰減為零時(shí)若不能驅(qū)動(dòng)后面的整形電路,則調(diào)節(jié)輸入放大的增益,時(shí)被測信號(hào)得以放大。</p><p>  頻率測量:測量頻率的原理框圖如圖2-3.測量頻率共有4個(gè)檔

15、位。被測信號(hào)經(jīng)整形后變?yōu)槊}沖信號(hào)(矩形波或者方波),送入閘門電路,等待時(shí)基信號(hào)的到來。時(shí)基信號(hào)有555定時(shí)器構(gòu)成一個(gè)較穩(wěn)定的多諧振蕩器,經(jīng)整形分頻后,產(chǎn)生一個(gè)標(biāo)準(zhǔn)的時(shí)基信號(hào),作為閘門開通的基準(zhǔn)時(shí)間。被測信號(hào)通過閘門,作為計(jì)數(shù)器的時(shí)鐘信號(hào),計(jì)數(shù)器即開始記錄時(shí)鐘的個(gè)數(shù),這樣就達(dá)到了測量頻率的目的。</p><p>  周期測量:測量周期的原理框圖2-4.測量周期的方法與測量頻率的方法相反,即將被測信號(hào)經(jīng)整形、二分頻電

16、路后轉(zhuǎn)變?yōu)榉讲ㄐ盘?hào)。方波信號(hào)中的脈沖寬度恰好為被測信號(hào)的1個(gè)周期。將方波的脈寬作為閘門導(dǎo)通的時(shí)間,在閘門導(dǎo)通的時(shí)間里,計(jì)數(shù)器記錄標(biāo)準(zhǔn)時(shí)基信號(hào)通過閘門的重復(fù)周期個(gè)數(shù)。計(jì)數(shù)器累計(jì)的結(jié)果可以換算出被測信號(hào)的周期。用時(shí)間Tx來表示:Tx=NTs式中:Tx為被測信號(hào)的周期;N為計(jì)數(shù)器脈沖計(jì)數(shù)值;Ts為時(shí)基信號(hào)周期。</p><p>  時(shí)基電路:時(shí)基信號(hào)由555定時(shí)器、RC組容件構(gòu)成多諧振蕩器,其兩個(gè)暫態(tài)時(shí)間分別為<

17、/p><p>  T1=0.7(Ra+Rb)C T2=0.7RbC</p><p>  重復(fù)周期為 T=T1+T2 。由于被測信號(hào)范圍為1Hz~1MHz,如果只采用一種閘門脈沖信號(hào),則只能是10s脈沖寬度的閘門信號(hào),若被測信號(hào)為較高頻率,計(jì)數(shù)電路的位數(shù)要很多,而且測量時(shí)間過長會(huì)給用戶帶來不便,所以可將頻率范圍設(shè)為幾檔: 1Hz~999Hz檔采用1s閘門脈寬;0.01kHz~9.99kHz檔

18、采用0.1s閘門脈寬;0.1kHz~99.9kHz檔采用0.01s閘門脈寬。多諧振蕩器經(jīng)二級(jí)10分頻電路后,可提取因檔位變化所需的閘門時(shí)間1ms、0.1ms、0.01ms。閘門時(shí)間要求非常準(zhǔn)確,它直接影響到測量精度,在要求高精度、高穩(wěn)定度的場合,通常用晶體振蕩器作為標(biāo)準(zhǔn)時(shí)基信號(hào)。在實(shí)驗(yàn)中我們采用的就是前一種方案。在電路中引進(jìn)電位器來調(diào)節(jié)振蕩器產(chǎn)生的頻率。使得能夠產(chǎn)生1kHz的信號(hào)。這對(duì)后面的測量精度起到?jīng)Q定性的作用。</p>

19、<p>  計(jì)數(shù)顯示電路:在閘門電路導(dǎo)通的情況下,開始計(jì)數(shù)被測信號(hào)中有多少個(gè)上升沿。在計(jì)數(shù)的時(shí)候數(shù)碼管不顯示數(shù)字。當(dāng)計(jì)數(shù)完成后,此時(shí)要使數(shù)碼管顯示計(jì)數(shù)完成后的數(shù)字。</p><p>  控制電路:控制電路里面要產(chǎn)生計(jì)數(shù)清零信號(hào)和鎖存控制信號(hào)。控制電路工作波形的示意圖如圖2-5.</p><p>  3 組成電路設(shè)計(jì)及其工作原理</p><p>  3.

20、1 時(shí)基電路設(shè)計(jì)及其工作原理</p><p>  圖3-1 (a) 多諧振蕩器</p><p>  如圖3-1(a),由555定時(shí)器和外接元件R1、R2、C構(gòu)成多諧振蕩器,腳2與腳6直接相連。電路沒有穩(wěn)態(tài),僅存在兩個(gè)暫穩(wěn)態(tài),電路亦不需要外加觸發(fā)信號(hào),利用電源通過R1、R2向C充電,以及C通過R2向放電端 Ct 放電,使電路產(chǎn)生振蕩。電容C在和之間充電和放電,其波形如圖6-3 (b)所示。輸

21、出信號(hào)的時(shí)間參數(shù)是</p><p>  T=tw1+tw2, tw1=0.7(R1+R2)C, tw2=0.7R2C </p><p>  555電路要求R1 與R2 均應(yīng)大于或等于1KΩ ,但R1+R2應(yīng)小于或等于3.3MΩ。</p><p>  外部元件的穩(wěn)定性決定了多諧振蕩器的穩(wěn)定性,555定時(shí)器配以少量的元件即可獲得較高精度的振蕩頻率和具有較強(qiáng)的功率輸出能

22、力。</p><p>  圖3-1(b) 時(shí)基電路與分頻電路</p><p>  本設(shè)計(jì)由兩部分組成: </p><p>  如圖3-1(b)所示,第一部分為555定時(shí)器組成的振蕩器(即脈沖產(chǎn)生電路),要求其產(chǎn)生1000Hz的脈沖.振蕩器的頻率計(jì)算公式為:f=1.43/((R1+2*R2)*C),因此,我們可以計(jì)算出各個(gè)參數(shù)通過計(jì)算確定了R1取430歐姆,R3取50

23、0歐姆,電容取1uF.這樣我們得到了比較穩(wěn)定的脈沖。在R1和R3之間接了一個(gè)10K的電位器便于在后面調(diào)節(jié)使得555能夠產(chǎn)生非常接近1KHz的頻率。第二部分為分頻電路,主要由4518組成(4518的管腳圖,功能表及波形圖詳見附錄),因?yàn)檎袷幤鳟a(chǎn)生的是1000Hz的脈沖,也就是其周期是0.001s,而時(shí)基信號(hào)要求為0.01s、0.1s和1s。4518為雙BCD加計(jì)數(shù)器,由兩個(gè)相同的同步4級(jí)計(jì)數(shù)器構(gòu)成,計(jì)數(shù)器級(jí)為D型觸發(fā)器,具有內(nèi)部可交換CP

24、和EN線,用于在時(shí)鐘上升沿或下降沿加計(jì)數(shù),在單個(gè)運(yùn)算中,EN輸入保持高電平,且在CP上升沿進(jìn)位,CR線為高電平時(shí)清零。計(jì)數(shù)器在脈動(dòng)模式可級(jí)聯(lián),通過將Q³連接至下一計(jì)數(shù)器的EN輸入端可實(shí)現(xiàn)級(jí)聯(lián),同時(shí)后者的CP輸入保持低電平。</p><p>  如圖3-2所示,555產(chǎn)生的1kHz的信號(hào)經(jīng)過三次分頻后得到3個(gè)頻率分別為100Hz、10Hz和1Hz的方波。</p><p>  圖3-

25、2 時(shí)基電路與分頻電路波形圖</p><p>  3.2 閘門電路設(shè)計(jì)</p><p>  如圖3-3所示,通過74151數(shù)據(jù)選擇器來選擇所要的10分頻、100分頻和1000分頻。74151的CBA接撥盤開關(guān)來對(duì)選頻進(jìn)行控制。當(dāng)CBA輸入001時(shí)74151輸出的方波的頻率是1Hz;當(dāng)CBA輸入010時(shí)74151輸出的方波的頻率是10Hz;當(dāng)CBA輸入011時(shí)74151輸出的方波的頻率是1

26、00Hz;這里我們以輸出100Hz的信號(hào)為例。分析其通過4017后出現(xiàn)的波形圖(4017的管腳圖、功能表和波形圖詳見附錄1)。4017是5位計(jì)數(shù)器,具有10個(gè)譯碼輸出端,CP,CR,INH輸入端,時(shí)鐘輸入端的施密特觸發(fā)器具有脈沖整形功能,對(duì)輸入時(shí)鐘脈沖上升和下降時(shí)間無限制,INH為低電平時(shí),計(jì)數(shù)器清零。100Hz的方波作為4017的CP端,如圖3-3,信號(hào)通過4017后,從Q1輸出的信號(hào)高電平的脈寬剛好為100Hz信號(hào)的一個(gè)周期,相當(dāng)于

27、將原信號(hào)二分頻。也就是Q1的輸出信號(hào)高電平持續(xù)的時(shí)間為10ms,那么這個(gè)信號(hào)可以用來導(dǎo)通閘門和關(guān)閉閘門。</p><p><b>  圖3-3 閘門電路</b></p><p>  圖3-4 閘門電路波形 </p><p>  3.3 控制電路設(shè)計(jì)</p><p>  通過分析我們知道控制電路這部分是本實(shí)驗(yàn)的最為關(guān)鍵和難

28、搞的模塊。其中控制模塊里面又有幾個(gè)小的模塊,通過控制選擇所要測量的東西。比如頻率,周期,脈寬。同時(shí)控制電路還要產(chǎn)生74160的清零信號(hào),4511的鎖存信號(hào).</p><p>  控制電路,計(jì)數(shù)電路和譯碼顯示電路詳細(xì)的電路如圖3-5所示。當(dāng)74153的CBA接001、010、011的時(shí)候電路實(shí)現(xiàn)的是測量被測信號(hào)頻率的功能。當(dāng)74153的CBA接100的時(shí)候?qū)崿F(xiàn)的是測量被測信號(hào)周期的功能。當(dāng)74153的CBA接101

29、的時(shí)候?qū)崿F(xiàn)的是測量被測信號(hào)脈寬的功能。圖3-6是測試被測信號(hào)頻率時(shí)的計(jì)數(shù)器CP信號(hào)波形、PT端輸入波形、CLR段清零信號(hào)波形、4511鎖存端波形圖。其中第一個(gè)波形是被測信號(hào)的波形圖、第二個(gè)是PT端輸入信號(hào)的波形圖、第三個(gè)是計(jì)數(shù)器的清零信號(hào)。第四個(gè)是鎖存信號(hào)。PT是高電平的時(shí)候計(jì)數(shù)器開始工作。CLR為低電平的時(shí)候,計(jì)數(shù)器清零。根據(jù)圖得知在計(jì)數(shù)之前對(duì)計(jì)數(shù)器進(jìn)行了清零。根據(jù)4511(4511的管腳圖和功能表詳見附錄1)的功能表可以知道,當(dāng)鎖存

30、信號(hào)為高電平的時(shí)候,4511不送數(shù)。如果不讓4511鎖存的話,那么計(jì)數(shù)器輸出的信號(hào)一直往數(shù)碼管里送。由于在計(jì)數(shù),那么數(shù)碼管上面一直顯示數(shù)字,由于頻率大,那么會(huì)發(fā)現(xiàn)數(shù)字一直在閃動(dòng)。那么通過鎖存信號(hào)可以實(shí)現(xiàn)計(jì)數(shù)的時(shí)候讓數(shù)碼管不顯示,計(jì)完數(shù)后,讓數(shù)碼管顯示計(jì)數(shù)器計(jì)到的數(shù)字的功能。根據(jù)圖可以看到,當(dāng)PT到達(dá)下降沿的時(shí)候,此時(shí)45</p><p>  3.4 小數(shù)點(diǎn)控制電路</p><p>  在測

31、量頻率的時(shí)候,由于分4個(gè)檔位,那么在不同的檔的時(shí)候,小數(shù)點(diǎn)也要跟著顯示。如CBA接011測量頻率的時(shí)候,它所測信號(hào)頻率的范圍是0.100KHz~9.999KHz,那么在顯示的時(shí)候四個(gè)數(shù)碼管的第二個(gè)數(shù)碼管的小數(shù)點(diǎn)要顯示。CBA接010測量頻率的時(shí)候,它所測信號(hào)頻率的范圍是0.01KHz~9.99KHz,那么顯示的時(shí)候,最高位的數(shù)碼管的小數(shù)點(diǎn)也要顯示。對(duì)比一下兩個(gè)輸入的高低電平可以發(fā)現(xiàn)CA位不一樣,顯示的小數(shù)點(diǎn)就不一樣。我們可以想到可以通過

32、74153數(shù)據(jù)選擇器來實(shí)現(xiàn)小數(shù)點(diǎn)顯示的問題。具體的實(shí)現(xiàn)方法見圖3-6所示。</p><p>  圖3-6 小數(shù)點(diǎn)控制電路</p><p>  3.5 整體電路 整體電路圖見附錄2</p><p>  3.6 元件清單 </p><p>  在本設(shè)計(jì)中所用的各種元器件經(jīng)統(tǒng)計(jì)如表3-1所示。</p><p>

33、  表3-1 元器件清單</p><p><b>  4 設(shè)計(jì)總結(jié) </b></p><p>  首先感謝王老師給我們創(chuàng)造這次機(jī)會(huì)進(jìn)行課程設(shè)計(jì),讓我們在為期一周的電子課程設(shè)計(jì)中懂得了更多,學(xué)到了更多。電子設(shè)計(jì)是我們必需要學(xué)會(huì)的技能,而熟練的使用protel等電路設(shè)計(jì)軟件是我們應(yīng)該具備的基本技能,這次實(shí)習(xí)恰恰給我們提供了一個(gè)應(yīng)用自己所學(xué)知識(shí)的機(jī)會(huì)。</p>

34、<p>  在設(shè)計(jì)的過程中發(fā)現(xiàn)了本學(xué)期學(xué)過的數(shù)字電路的知識(shí)掌握的不牢。同時(shí)在設(shè)計(jì)的過程中,遇到了一些以前沒有見到過的元件,但是通過查找資料來學(xué)習(xí)這些元件的功能和使用。設(shè)計(jì)過程是一個(gè)考驗(yàn)人耐心的過程,尤其是在使用protel畫原理圖時(shí),更需要我們細(xì)心的去做每一步,而555定時(shí)器,74151,74153等引腳比較多,而且要根據(jù)原理使用,只有耐心做好每一步,才能順利的完成課程設(shè)計(jì)。</p><p>&l

35、t;b>  參考文獻(xiàn)</b></p><p>  1.何小艇,電子系統(tǒng)設(shè)計(jì),浙江大學(xué)出版社,2001年6月</p><p>  2.姚福安,電子電路設(shè)計(jì)與實(shí)踐,山東科學(xué)技術(shù)出版社,2001年10月</p><p>  3.王澄非,電路與數(shù)字邏輯設(shè)計(jì)實(shí)踐,東南大學(xué)出版社,1999年10月</p><p>  4.李銀華,電子線

36、路設(shè)計(jì)指導(dǎo),北京航空航天大學(xué)出版社,2005年6月</p><p>  5.康華光,電子技術(shù)基礎(chǔ),高教出版社,2003</p><p>  6.張?jiān)サ?. 電子電路課程設(shè)計(jì) . 第1版 . 南京:河海大學(xué)出版社,2005.8</p><p>  7.謝自美 . 電子線路設(shè)計(jì).實(shí)驗(yàn).測試 . 第2版 . 武昌:華中科技大學(xué)出版社,2000.7</p>&

37、lt;p><b>  附錄1</b></p><p>  CC 4518十進(jìn)制同步加/減計(jì)數(shù)器</p><p><b>  簡要說明</b></p><p>  CC4518 為雙 BCD 加計(jì)數(shù)器,該器件由兩個(gè)相同的同步 4 級(jí)計(jì)數(shù)器組成。計(jì)數(shù)器級(jí)為 D 型觸發(fā)器。具有內(nèi)部可交換 CP 和 EN 線,用于在時(shí)鐘上升

38、沿或下降沿加計(jì)數(shù)。在單個(gè)單元運(yùn)算中,EN 輸入保持高電平,且在 CP 上升沿進(jìn)位。CR 線為高電平時(shí),計(jì)數(shù)器清零。計(jì)數(shù)器在脈動(dòng)模式可級(jí)聯(lián),通過將 Q3 連接至下一計(jì)數(shù)器的 EN 輸入端可實(shí)現(xiàn)級(jí)聯(lián)。同時(shí)后者的 CP輸入保持低電平。</p><p><b>  4518 管腳圖</b></p><p>  4518功能表及波形圖</p><p> 

39、 CC4017------十進(jìn)制計(jì)數(shù)器/脈沖分配器</p><p><b>  簡要說明:</b></p><p>  CC4017 是 5 位 Johnson 計(jì)數(shù)器,具有 10 個(gè)譯碼輸出,CP,CR,INH 輸入端。時(shí)鐘輸入端的斯密特觸發(fā)器具有脈沖整形功能,對(duì)輸入時(shí)鐘脈沖上升和下降時(shí)間無限制,INH為低電平時(shí),計(jì)數(shù)器在時(shí)鐘上升沿計(jì)數(shù);反之計(jì)數(shù)功能無效。CR為

40、高電平時(shí),計(jì)數(shù)器清零。Johnson 計(jì)數(shù)器提供了快速操作,2 輸入譯碼選通和無毛刺譯碼輸出,防鎖選通,保證了正確的計(jì)數(shù)順序。譯碼輸出一般為低電平,只有在對(duì)應(yīng)時(shí)鐘周期內(nèi)保持高電平。</p><p><b>  4017管腳圖</b></p><p>  4017功能表及波形圖</p><p>  CC4511 4線-七段所存譯碼器/驅(qū)動(dòng)器&l

41、t;/p><p><b>  簡要說明</b></p><p>  CC4511是 BCD-7 段所存譯碼驅(qū)動(dòng)器,在同一單片結(jié)構(gòu)上由 COS/MOS 邏輯器件和 n-p-n 雙極型晶體管構(gòu)成。這些器件的組合,使 CC4511 具有低靜態(tài)耗散和高抗干擾及源電流高達(dá) 25mA 的性能。由此可直接驅(qū)動(dòng) LED 及其它器件。 LT 、BI 、LE 輸入端分別檢測顯示、亮度調(diào)節(jié)、存

42、儲(chǔ)或選通一BCD碼等功能。當(dāng)使用外部多路轉(zhuǎn)換電路時(shí),可多路轉(zhuǎn)換和顯示幾種不同的信號(hào)。</p><p><b>  4511管腳圖</b></p><p><b>  4511功能表</b></p><p><b>  數(shù)碼管的管腳圖</b></p><p><b>

43、;  數(shù)碼碼功能表</b></p><p>  74160十進(jìn)制同步計(jì)數(shù)器(異步清除)</p><p>  160 的清除端是異步的。當(dāng)清除端/MR 為低電平時(shí),不管時(shí)鐘端CP 狀態(tài)如何,即可完成清除功能。160 的預(yù)置是同步的。當(dāng)置入控制器/PE 為低電平時(shí),在 CP 上升沿作用下,輸出端 Q0-Q3 與數(shù)據(jù)輸入端 P0-P3 一致。對(duì)于54/74160,當(dāng) CP 由低至高跳

44、變或跳變前,如果計(jì)數(shù)控制端 CEP、CET為高電平,則/PE 應(yīng)避免由低至高電平的跳變,而 54/74LS160 無此種限制。160 的計(jì)數(shù)是同步的,靠 CP 同時(shí)加在四個(gè)觸發(fā)器上而實(shí)現(xiàn)的。當(dāng) CEP、CET 均為高電平時(shí),在 CP 上升沿作用下 Q0-Q3 同時(shí)變化,從而消除了異步計(jì)數(shù)器中出現(xiàn)的計(jì)數(shù)尖峰。對(duì)于 54/74160,只有當(dāng)CP 為高電平時(shí),CEP、CET 才允許由高至低電平的跳變,而 54/74LS160的 CEP、CET

45、 跳變與 CP 無關(guān)。160 有超前進(jìn)位功能。當(dāng)計(jì)數(shù)溢出時(shí),進(jìn)位輸出端(TC)輸出一個(gè)高電平脈沖,其寬度為 Q0 的高電平部分。在不外加門電路的情況下,可級(jí)聯(lián)成 N 位同步計(jì)數(shù)器。對(duì)于 54/74LS160,在 CP 出現(xiàn)前,即使 CEP、CET、/MR 發(fā)生變化,電路的功能也不受影響。</p><p>  74160 管腳圖 74160 功能表<

46、;/p><p>  說明:H-高電平 L-低電平 X-任意</p><p><b>  7404 六反向器</b></p><p><b>  邏輯圖</b></p><p>  74132 四2輸入與非門(有施密特觸發(fā)器)</p><p><b>  管腳圖:&

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論