2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩34頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、<p>  編號: </p><p><b>  畢業(yè)設(shè)計說明書</b></p><p>  題 目: 脈沖信號參數(shù)測試儀 </p><p>  院 (系): 電子工程與自動化學院 </p><p>  專 業(yè): 電子信息科學與技術(shù)

2、 </p><p>  學生姓名: xxxxxxxxxxxx </p><p>  學 號: xxxxxxxxx </p><p>  指導教師: xxxxxxxxxxxxxx </p><p>  職 稱: xxxxxxxxxxxx &

3、lt;/p><p>  題目類型: 理論研究 實驗研究 工程設(shè)計 √ 工程技術(shù)研究 軟件開發(fā)</p><p>  2013年5月 20日</p><p><b>  摘 要</b></p><p>  脈沖參數(shù)測試儀是在信號類常用的一種儀器,用它可以測量峰峰值、有效值、頻率、上升沿和下降沿時間,占空比等

4、的儀器。這種功能比較齊全的儀器現(xiàn)在大多數(shù)都是比較大型的臺式的,手提便攜式的功能還沒那么完善,便攜式的比較少,能測得東西也沒那么多,而且便攜式的測量精度沒有臺式的測量精度高?,F(xiàn)在便攜式的測量儀器普遍用的就是萬用表,可以測量直流電壓、電阻、電流、電容等等。本次是用超低功耗的控制芯片MSP430F5438A做為主控芯片,可以測量峰峰值、頻率、占空比、上升沿和下降沿時間的參數(shù),由于板子做得不怎么好,所以測的量精度不高。</p>&

5、lt;p>  關(guān)鍵詞:MSP430F5438A;脈沖信號參數(shù)測試儀;峰峰值;頻率;占空比</p><p><b>  Abstract</b></p><p>  The pulse parameter tester is an instrument commonly used in the signal class,It can measure the pea

6、k-to-peak, RMS, frequency, rise and fall times, the duty cycle of the instrument.This function relatively complete instrument now most of them are relatively large-scale desktop,Hand-held portable function is not so perf

7、ect, portable are much few, can be measured not so much things, the measurement accuracy of the desktop and portable measurement accuracy is not high.Now portable measuring instrum</p><p>  Key words: MSP430

8、F5438A; Pulse signal parameter tester; peak-to-peak; frequency; duty</p><p><b>  目 錄</b></p><p><b>  引言1</b></p><p>  1 背景知識及系統(tǒng)設(shè)計要求1</p><p>

9、  1.1 背景知識1</p><p>  1.2 MSP430系列單片機介紹2</p><p>  1.3 系統(tǒng)設(shè)計要求2</p><p>  1.4 系統(tǒng)設(shè)計方案2</p><p>  2 主要器件的選擇及論證3</p><p>  2.1 微處理器的選擇3</p><p> 

10、 2.2 比較器的選擇3</p><p>  2.3 占空比測量模塊的選擇4</p><p>  2.4 占峰峰值測量的設(shè)置4</p><p>  3 脈沖信號參數(shù)測試儀的硬件電路設(shè)計4</p><p>  3.1 MSP430F5438A主控模塊設(shè)計4</p><p>  3.1.1 MSP430F543

11、8A最小系統(tǒng)芯片部分4</p><p>  3.1.2 MSP430F5438A最小系統(tǒng)I/O口部分5</p><p>  3.1.3 MSP430F5438A最小系統(tǒng)復位電路和仿真電路6</p><p>  3.2 比較器電路7</p><p>  3.3 峰值檢波電路8</p><p>  3.4 有效值

12、測量電路9</p><p>  3.5 A/D模數(shù)轉(zhuǎn)換電路10</p><p>  3.5 D/A數(shù)模轉(zhuǎn)換電路11</p><p>  4 脈沖信號參數(shù)測試儀的軟件設(shè)計12</p><p>  4.1 脈沖信號參數(shù)測試儀的總體流程圖12</p><p>  5 脈沖信號參數(shù)測試儀的調(diào)試過程15</

13、p><p>  5.1 硬件調(diào)試過程15</p><p>  5.2 軟件調(diào)試過程17</p><p>  6 對系統(tǒng)的總結(jié)與展望19</p><p><b>  6.1 總結(jié)19</b></p><p><b>  6.2 展望19</b></p>&

14、lt;p><b>  謝 辭20</b></p><p><b>  參考文獻21</b></p><p><b>  附 錄22</b></p><p><b>  引言</b></p><p>  單片機微型計算機是計算機的一個很重要的

15、分支,單片機微型計算機簡稱單片機,非常適用于自動化控制領(lǐng)域,所以又稱為微控制器。單片機由集成電路芯片構(gòu)成,內(nèi)部包含了計算機的基本功能模塊:中央處理器CPU、存儲器、I\O接口電路,定時器中斷電,有些單片機里還集成了內(nèi)部A/D轉(zhuǎn)換功能等等。單片機只是一個控制芯片,相當于人的大腦,人只有大腦還是完成不了所需要的功能的,還需要手、腳、嘴巴、耳朵等等的器官,單片機也是一樣,必須還要外接一些模塊才能實現(xiàn)想要的功能。單片機外接的模塊根據(jù)要實現(xiàn)的功能

16、的不同選擇不同的外部芯片組成不同的外部模塊,這些外部的模塊只能完成某一方面的工作,就像人的嘴巴只能說話吃飯不能聽到聲音,耳朵只能聽到聲音不能吃飯,外部的模塊統(tǒng)一由單片機控制,使外部的模塊能統(tǒng)一協(xié)調(diào)的完成工作。由于單片機穩(wěn)定性好,物美價廉,功耗低,控制簡單易用,所以單片機已經(jīng)廣泛應用到了各行各業(yè),如自動化控制、智能玩具、各種家居、手機、儀器儀表等各種儀器。</p><p>  現(xiàn)在的電子產(chǎn)品的種類越來越多,各種儀器

17、的應用也就越來越多,在信號類的儀器都要用到脈沖信號測量的儀器,本課題研究的是脈沖參數(shù)測試儀,主要是測量各種脈沖信號的參數(shù)。因為脈沖信號很微小的變化都會影響到信號的質(zhì)量,所以脈沖參數(shù)測試儀對芯片和電路的要求都比較高。</p><p>  1 背景知識及系統(tǒng)設(shè)計要求</p><p><b>  1.1 背景知識</b></p><p>  隨著電

18、子技術(shù)的發(fā)展,對脈沖信號的測量精度要求也越來越高,脈沖參數(shù)測試的儀器應用也越來越廣泛,最常見的也最常用的就是示波器,示波器有模擬示波器和數(shù)字示波器。數(shù)字示波器比較智能,功能比較多,精度也會比模擬示波器的高?,F(xiàn)在也有一些是便攜式的數(shù)字示波器,大小和手機差不多一樣,不過本人沒用過,不知道好不好用,不知道精度高不高。但本人覺得便攜式的還是沒有臺式的精度那么高的,本人見過的那臺便攜式的示波器才幾百元,比示波器便宜多了,所以質(zhì)量肯定沒有臺式的好,

19、精度也沒有臺式的高。但是也可能有一些比較貴的,精度應該也和臺式的示波器差不多,功能也會比較多。脈沖信號參數(shù)測試儀器對電路板的布局要求比較高,因為是信號類的,所以電阻電容的數(shù)值也會對測量結(jié)果產(chǎn)生比較大的影響,所以電路板稍微做得不怎么好,就可能實現(xiàn)不了功能或者精度會變得很差,所以做脈沖參數(shù)測試儀時要多查看資料,要比較細心,盡量做到完美精度才會比較高。</p><p>  由于現(xiàn)在很多領(lǐng)域都要進行信號的檢測,特別是高科

20、技領(lǐng)域,所以信號的檢測和測量應用越來越廣泛,微弱信號領(lǐng)域?qū)γ}沖信號檢測的精度要求很高,像雷達等的信號,對信號檢測的要求很高很高,所以像每國P-3C偵察機反潛機只有少數(shù)的幾個國家能做得出來,因為對小信號的檢測分析處理的技術(shù)要求是很高的。一些是知道原理但工藝不夠好也做不出來。</p><p>  本次做的是用超低功耗的控制芯片MSP430F5438A作為控制芯片,加上其他一些外圍的電路模塊做成的。 因為本人技術(shù)有限,

21、做的板子不是很好,所用的芯片也不怎么好,好的芯片太貴了,買不起,所以精度不怎么好。不能用到好東西,板子又做得不好,所以調(diào)板子的時候太難調(diào)了,調(diào)了很久很久都調(diào)得不怎么好,最后只能調(diào)到精度還算相差不是很大就行了。</p><p>  1.2 MSP430系列單片機介紹</p><p>  MSP430系列單片機是TI(德州儀器)公司近年來推出的一系列優(yōu)秀的混合微型處理器。他不僅具有16位微型處

22、理器高效的處理器系統(tǒng),還具有很多功能強大的、豐富的外設(shè),其中包括很多高性能的模擬外設(shè)。在大部分熱門產(chǎn)品應用中都可以單芯片完成設(shè)計,更可貴的是他能夠以極低的功耗運行,因而被廣泛應用在電池供電的手持設(shè)備上。即使是在某些不需要低功耗的場合,MSP430單片機仍然可以作為一款高性能單片機使用。隨著自動控制的高速化和低功耗化,MSP430系列將會得到越來約多人的喜愛,其應用的領(lǐng)域也會越來越大。</p><p>  1.3

23、系統(tǒng)設(shè)計要求</p><p>  在學過的單片機的一些知識的基礎(chǔ)上,通過自主學習,學會另外一些單片機的應用,學會那種單片機的一種或者幾種語言的編程,學會運用學到的新的單片機控制外圍的芯片、電路模塊,制作完成一個脈沖參數(shù)測試儀。脈沖信號幅值范圍為:0.2VP~3.3VP,測量精度≤±2%;脈沖信號頻率范圍為100Hz~100KHz;頻率測量精度≤±0.1%,周期測量精度≤±0.1%;占

24、空比測量范圍為10%~90%,測量誤差≤10%;上升時間和下降時間測量范圍為1us~1ms,測量誤差≤1us;脈沖信號頻率范圍為10Hz~500KHz;頻率測量精度≤±0.03%,周期測量精度≤±0.03%;</p><p>  1.4 系統(tǒng)設(shè)計方案</p><p>  系統(tǒng)設(shè)計方案如圖所示:</p><p><b>  圖1.1 系統(tǒng)

25、框圖</b></p><p>  由圖1.1所示,本設(shè)計涉及七個部分,DA輸出峰峰值的10%和90%的電壓值給比較器模塊,信號輸入到比較器模塊,比較器模塊有峰峰值的10%和90%的兩路輸出比較電壓,比較器輸出這兩路的比較脈沖信號,這兩路脈沖信號通過74HC08相與后輸出上升沿下降沿的脈沖信號。將比較器比較后的輸出電壓輸入到有效值檢測模塊,用A/D模塊測出有效值,再用測出來的有效值求出占空比。脈沖信號輸

26、入到峰值檢測模塊測量脈沖信號的峰峰值。將比較器輸出的比較脈沖信號和A/D所測量的數(shù)值輸入到MSP430F5438A微處理器,實現(xiàn)求頻率、上升沿下降沿時間和進行一些數(shù)據(jù)處理。最后把處理后的結(jié)果通過顯示模塊顯示出來。</p><p>  主要器件的選擇及論證</p><p>  2.1 微處理器的選擇</p><p>  一開始本人考慮的是用51單片機來作為主控的微處理

27、器,因為51單片機的應用簡單,操作起來簡單容易,而且51單片機是本人用得最多的,很容易上手。后來發(fā)現(xiàn)51單片機的速度不夠快,功能也沒那么多,所以后來選擇了TI的MSP430系列單片機,MSP430系列單片機的功能比較強大,而且工作的功耗超低。選好了微處理器后,本人就在TI官網(wǎng)申請了2片MSP430F5438A微處理器芯片。</p><p>  2.2 比較器的選擇</p><p>  在這

28、么多的模塊當中,本人覺得比較器模塊是最難調(diào)的了。本人用萬用板搭過LM393模塊調(diào)了一下,出來的波形很不好,很多紋波。后來聽別人說TLC372不錯,本人就試了一下,還把電路圖PCB畫好了,做好了板子,本人以為這個是絕對沒什么問題的了,因為以前也有人用過。然后本人再拿到實驗室去調(diào)試,調(diào)試出來的結(jié)果太令本人失望了,比較出來的脈沖邊沿有很多振蕩的紋波,而且紋波的幅度也很高。本人沒試過在脈沖信號邊緣去振蕩紋波的方法,所以調(diào)了很久都調(diào)不出來。那時本

29、人也沒有什么其他的比較器了,所以本人想一定要把這個TLC372調(diào)好才可以。本人問了以前做過的那些人,他們說他們也是那樣子畫的圖,他們的就可以,本人的為什么不可以,而且板子都檢查過很多遍了,都沒有畫錯、連錯、短路或者斷路等,所以他們也不知道是什么原因,本人自己也是調(diào)了2天檢查了2天都檢查不出來,然后不想調(diào)了,本人想再調(diào)也是沒結(jié)果的了,反而是浪費時間。然后再從網(wǎng)上查了一些比較器的資料,看到一款用運放做的比較器貌似不錯,叫做滯回比較器。絕大多

30、數(shù)比較器中都設(shè)計帶有滯回電路, 通常滯回電壓為5mV到10mV。內(nèi)部滯回電路可以避免由于輸入端的寄生反饋所造成的比較</p><p>  2.3 占空比測量模塊的選擇</p><p>  本人一開始想的是用一個周期高電平的時間再除以周期來求占空比的,但是有些占空比太小了,單片機很難把一個周期的高電平時間測出來,所以就得想過另外的辦法。對于脈沖信號而言,占空比=(有效值/峰峰值)^2/100

31、,然后選擇用AD637來測量脈沖信號的峰峰值,然后根據(jù)上一個公式來求脈沖信號的占空比。這種方法比較容易,測起來也比較方便。</p><p>  2.4 占峰峰值測量的設(shè)置</p><p>  峰峰值測量本人選用的是峰值檢波,峰值檢波的充電時間常數(shù)很小,即使是很窄的脈沖也能很快充電到穩(wěn)定值,當中頻信號消失后,由于電路的放電時間常數(shù)很大,檢波的輸出電壓可在很長一段時間內(nèi)保持在峰值上。峰值檢波的

32、電路本人選用的是無二極管型的,無二極管型是利用比較器輸出的開集BJT或者開漏MOSFET代替二極管,進一步提高性價比。</p><p>  脈沖信號參數(shù)測試儀的硬件電路設(shè)計</p><p>  3.1 MSP430F5438A主控模塊設(shè)計</p><p>  3.1 .1 MSP430F5438A最小系統(tǒng)芯片部分</p><p>  MSP4

33、30F5438A主控模塊本人把它做成了一個最小系統(tǒng)版,用最小系統(tǒng)版來控制調(diào)試外部的小模塊。因為本人以前沒用過MSP430的芯片,又沒有開發(fā)板,所以如果把外部的模塊和主控模塊集成在一起很可能是不能用的,結(jié)果又浪費了很多金錢很多時間又要重新做板子,所以先做成最小的系統(tǒng)版,先把最小系統(tǒng)版做出來調(diào)好,再做外部的模塊,外部的模塊也是一個小模塊一個小模塊的做,這樣既能做得快一點做不成功也可以快一點再做另一塊,而且板子用不了再重新做也不用花那么多的錢

34、,節(jié)約了的成本。在MSP430F5438A最小系統(tǒng)版中,主要由一下幾大部分構(gòu)成。</p><p>  如圖3-1-1所示,MSP430F5438A芯片有100個引腳,其擁有16 位精簡指令集結(jié)構(gòu),可以擴展外部存儲器,可以達到25MHZ系統(tǒng)時鐘,靈活得電源管理系統(tǒng)(PMM),由DVCC 在LDO 作用下產(chǎn)生Vcore電源,供低電壓模塊使用,低功耗/低頻率內(nèi)部時鐘源VLO,低頻率內(nèi)部時鐘源REFO -XT132768

35、HZ 晶振,XT2 高頻晶振可以達到25MHZ,16 位Timer0_A5 有5 個捕獲/比較寄存器,16 位Timer1_A3 有3 個捕獲/比較寄存器,16 位Timer_B7 有7 個捕獲/比較寄存器,達到4 個通用通信接口,內(nèi)部UART,支持自動波特率檢測,具有IRDA 編碼和解碼,SPI 通信,I2C 通信,12 位模數(shù)轉(zhuǎn)換,采用內(nèi)部參考電壓,12 外部通道,4 個內(nèi)部通道,256KB FLASH存儲器,16KB SRAM存儲

36、器,片內(nèi)實時時鐘RTC,也可做通用計數(shù)器使用。從上面的數(shù)據(jù)可見,MSP430F5438A單片機一改以往430系列“本人很省飯,但本人也不太能干”的林黛玉作風,配備了豐富的片內(nèi)資源,又具備了相當可觀的性能,變身為既省飯又能干的全能戰(zhàn)士,在發(fā)揚光大4</p><p>  圖3.1 MSP430F5438A芯片部分</p><p>  3.1.2 MSP430F5438A最小系統(tǒng)I/O口部分&l

37、t;/p><p>  I/O口擴展部分如下圖所示:</p><p>  圖3.2 MSP430F5438A最小系統(tǒng)的I/O口擴展</p><p>  如圖3.2,MSP430F5438A最小系統(tǒng)把芯片的87個通用I/O口全部擴展出來了,可以說MSP430F5438A的通用I/O口是很多很豐富的,可以滿足同時控制多個模塊的要求。3.1.3 MSP430F5438A最小系統(tǒng)

38、復位電路和仿真電路</p><p>  復位電路和仿真電路如下圖所示:</p><p>  圖3.3MSP430F5438A最小系統(tǒng)的仿真電路和復位電路</p><p>  MSP430單片機有兩種程序下載仿真的方法,如圖3.3,第一種是通過JTAG下載仿真,第二種是通過TEST和RST兩根線來下載并在線仿真。本人是用第二種來下載程序并仿真。MSP430有兩種復位方

39、式,上電復位信號POR(Power On Reset)和上電清除信號PUC(Power Up Clear)。POR信號是器件的復位信號,此信號只有在以下的事件發(fā)生時才會產(chǎn)生:器件上電時。RST/NMI引腳配置為復位模式,當RST/NMI引腳生產(chǎn)低電平時。RST/NMI引腳配置為復位模式,當RST/NMI引腳生產(chǎn)低電平時。</p><p><b>  3.2 比較器

40、電路</b></p><p>  比較器電路如下圖所示:</p><p>  圖3.4 比較器電路</p><p>  這是個滯回比較器,由MAX942運放組成,其中脈沖信號幅度小的要通過一個運放將電壓放大后再送入比較器進行比較,通過一個繼電器進行選擇,當脈沖信號是小信號時,在繼電器選擇端加高電平,使比較器接到電壓放大電路那邊,當脈沖信號不是小信號時,

41、就給繼電器加個低電平信號,使比較器接在沒經(jīng)過電壓放大的那邊。比較器模塊有脈沖信號輸入,兩個輸入比較電壓的輸入。兩個輸出比較電壓的輸出,兩個輸出比較電壓經(jīng)過74HC08相與后的輸出脈沖,還有一個是其中一個輸出比較電壓經(jīng)過74HC74的D觸發(fā)器后輸出的方波信號,可以用這個信號來測量脈沖信號的頻率。本人在軟件部分設(shè)為當信號峰峰值小于0.8VPP時就經(jīng)過一個運放放大,放大的倍數(shù)大概是2.7倍左右,運放的輸入和輸出都接一個電壓跟隨器,防止信號能量

42、的損失。當信號的峰峰值不小于0.8VPP時就不用經(jīng)過運放放大,不過將信號送給比較器之前也接了一個電壓跟隨器,也是為了防止信號能量的損失。</p><p>  3.3 峰值檢波電路 </p><p>  峰值檢波電路如下圖所示:</p><p>  圖3.5 峰值檢波模塊</p><p>  如圖3.5所示,本人采用的是無二極管型的峰值檢波電路

43、。峰值檢測電路(PKD,Peak Detector)的作用是對輸入信號的峰值進行提取,產(chǎn)生輸出Vo = Vpeak,為了實現(xiàn)這樣的目標,電路輸出值會一直保持,直到一個新的更大的峰值出現(xiàn)或電路復位。這個峰值檢波電路本人一共做了兩塊。第一塊做完的時候拿去實驗室調(diào)試時發(fā)現(xiàn)信號在2VPP以上的電壓測量還算準,但信號在2VPP一下的就不準了,在2VPP一下的信號,測得的電壓值最大都不超過1V,本人反反復復測了很多數(shù)據(jù)都是不準的。信號峰峰值在2VP

44、P以下,有時候所測得的電壓值是峰峰值的一般多一點,有時候所測得的電壓值是峰峰值的一般少一點。對照原理圖和PCB和一些找來的資料檢查了以下,發(fā)現(xiàn)電路圖和PCB一點都沒花錯,電路也沒有短路和斷路,所以檢查了很久都檢查不出是什么原因,然后再去問了幾次那些比較厲害的同學,他們也不知道是什么原因。最后實在是檢查不出來,只好重新做一塊試試看,而且芯片也新買一些新的,可能是因為那些舊的芯片有問題調(diào)不出來的。做第二塊板時本人還專門再檢查了幾次電路圖。做

45、好板子后,再拿到實驗室去調(diào)試,測出來的結(jié)果和上次的那個板子是一樣的,</p><p>  3.4 有效值測量電路</p><p>  測有效值的電路如下圖所示:</p><p>  圖3.6 測有效值電路</p><p>  如圖3.6,本人只采用AD637來測量有效值的。AD637是ADI公司生產(chǎn)的真有效值-直流轉(zhuǎn)換芯片,它的功能是把外部輸

46、入的交流信號有效值變成直流信號輸出,可以計算各種復雜波形的真有效值。其最高精度高于0.1%,是當前國際上集成真有效值轉(zhuǎn)換器中性能最高的??蓽y量的輸入信號有效值可高達7V,對于1vRMS的信號,它的3dB帶寬為8MHz,并且可以對輸入信號的電平以dB形式指示,當輸入電壓為100mV 時,帶寬標值為600kHz;輸入電壓為2V時,帶寬標稱值為8MHz。另外,AD637通過片選(CS)管腳作用,可以使靜態(tài)電流從2.2mA降至350&

47、#181;A。因此,在數(shù)據(jù)采集和儀器儀表等場合,有很廣泛的應用。AD637集成電路具有低成本、低功耗和高(激光調(diào)整)精度特性,使得真有效值(RMS)計算成為一項實用、可行的技術(shù),可用來獲取波形的功率測量值或標準偏差。以前,采用模塊式、混合式、或分立式器件的真有效值(RMS)轉(zhuǎn)換器不僅成本高,而且相對復雜。RMS(真有效值)是對交流信號幅度的基本量度,可以分別從實用角度和數(shù)學角度予以定義。從實用角度定義是:一個交流信號的真有效值等于在同一

48、負載上產(chǎn)生同等熱量所需</p><p>  對于脈沖信號而言,占空比=(有效值/峰峰值)^2/100,利用這個公式可以求出脈沖信號的占空比。</p><p>  3.5 A/D模數(shù)轉(zhuǎn)換電路</p><p>  MAX197的電路如下圖所示:</p><p>  圖3.7 MAX197電路</p><p>  如圖3.

49、7所示,A/D模數(shù)轉(zhuǎn)換模塊是用MAX197做的,本人一開始是用AD7898這款A/D轉(zhuǎn)換器,因為這款是SPI通信的,只要三根線就可以實現(xiàn)模數(shù)轉(zhuǎn)換,可以節(jié)省了大量的單片機I/O端口。AD7898芯片的板子已經(jīng)做好了,然后本人看了芯片的資料,對照資料上的時序圖編寫程序,程序完全是按照資料上的時序圖寫的,但下載調(diào)試的時候總是調(diào)試不出來,一點反應都沒有。這塊AD7898整整花了本人3天的時間,還是一點進展都沒有,然后本人不想再浪費時間了,想換別

50、的A/D芯片看看,然后想到用ADC0809,但這款A/D是8為了,可能小信號測得不是很準。正好同學免費申請有2塊貼片的MAX197模數(shù)轉(zhuǎn)換芯片,他就給了一片本人,然后就打算用MAX197試試看。MAX197是Maxim公司推出的具有12位測量精度的高速A/D轉(zhuǎn)換芯片,只需單一電源供電,且轉(zhuǎn)換時間很短(6us),具有8路輸入通道,還提供了標準的并行接口——8位三態(tài)數(shù)據(jù)I/O口,可以和大部分單片機直接接口,使用十分方便。 MAX197無需外

51、接元器件就可獨立完成A/D轉(zhuǎn)換功能。它可分為內(nèi)部采樣模式和外部采樣模式,采樣模式由控制寄存器的D5位決定。在內(nèi)部</p><p>  3.5 D/A數(shù)模轉(zhuǎn)換電路</p><p>  D/A模塊的電路如下圖所示:</p><p>  圖3.8 DAC8562電路</p><p>  D/A轉(zhuǎn)換電路本人也換過幾塊,開始是想用MAX5802,這是

52、一個雙通道的D/A轉(zhuǎn)換器,外部產(chǎn)考電壓是可達到5V,這個芯片是用I2C通信的,本人看了這個芯片的一些資料,又對照本人以前寫的24C08的I2C程序把這個D/A的芯片寫好,然后在下載到單片機調(diào)試,但也調(diào)試了兩天都調(diào)不出來,I2C程序也都沒錯,跟以前那個24C08的幾乎一樣,看來I2C的程序?qū)τ诒救藖碚f真的很難調(diào),兩天都調(diào)不出來后本人就決定還另一塊芯片,這塊是TI公司的DAC8562。DAC8562 系列與同類器件相比,積分非線性度 (IN

53、L) 提升 25%,偏移誤差降低 60%。此外,這些 DAC 還具有業(yè)界最低功耗與超小外形,是無線基站、可編程邏輯控制 (PLC) 模擬輸出模塊、電機控制、高精度儀表以及便攜式醫(yī)療設(shè)備等空間及電源受限系統(tǒng)的理想選擇。 業(yè)界最高精度:支持每秒 0.1 nV 短時脈沖波形干擾、4 mV 最大偏移誤差、12 位時 0.75 LSB 最大 INL 以及每攝氏度 10 ppm 的最大參考漂移,可提高系統(tǒng)精確度; 業(yè)界最低功耗:每通道流耗僅為 0.

54、1 mA,不但可最大限度地降低無線基站中 VGA 控制所需的</p><p>  脈沖信號參數(shù)測試儀的軟件設(shè)計</p><p>  4.1 脈沖信號參數(shù)測試儀的總體流程圖</p><p>  脈沖信號參數(shù)測試儀系統(tǒng)的流程圖如下圖所示:</p><p>  圖4.1 脈沖信號參數(shù)測試儀流程圖</p><p>  如圖4.

55、1所示,軟件系統(tǒng)主要分為八大部分,有些部分需要的軟件處理比較少,但是比較難處理,因為是要校準的,而且不同頻率不同幅值的誤差都不一樣,所以不能用統(tǒng)一的公式來處理,必須要分成很多小部分,每個部分都要用一個不同的公式,這樣處理出來的結(jié)果會比較精確一點。特別是峰峰值的測量,不同的幅度值所測得的峰峰值誤差變化很大,頻率對峰峰值的測量也有一些變化,測頻率時本人用的是TIMER0計數(shù)器,外用外部時鐘引腳P1.0,計數(shù)脈沖是被測的脈沖信號。這個板子在測

56、量時都要加上直流偏置,因為本人沒做測量負電壓的。</p><p>  表4-1 占空比的測量值</p><p>  如表4-1所示,最左邊一列是信號源輸出脈沖信號的占空比,右邊的是不同頻率下脈沖信號參數(shù)測試儀所測得的占空比。從表中可以看出,20%以下的脈沖信號占空比所測得的值比真實值偏小了一點,50%以上的脈沖信號占空比所測得的值比真實值偏大了一點。頻率也會影響占空比所測得的數(shù)值,隨著頻率

57、的增大,占空比也相應地減小一點,但頻率影響的不是很大,所以本人想在程序設(shè)計時只判斷所測得的占空比的大小,在不同大小范圍的占空比分別處理,把所測得的數(shù)據(jù)誤差減小。</p><p>  占空比測量的軟件流程圖如下圖所示:</p><p>  圖4.2 占空比測量流程圖</p><p>  表4-2 峰峰值的測量的數(shù)據(jù)表</p><p>  如表4

58、-2所示,最左邊一列是信號源輸出的正弦信號的峰峰值,右邊的每一列是不同頻率下峰值檢波電路所測得的直流電壓值。這個峰值檢波的電路本人已經(jīng)做了2個板子了,測量的結(jié)果都是一樣,最后實在找不出原因就只能用那些所測得的數(shù)值經(jīng)過數(shù)據(jù)處理大概得出索要測量的峰峰值就行了。這個數(shù)據(jù)以前也測過,也是在同一個板子測的,正弦信號在2VPP以下都沒有所測得的數(shù)值都沒有超過1V的,這一次測的電壓值在正弦信號小于2VPP的也有超過1V的,同一個板子,在不同的時間測量

59、,所測出來的結(jié)果都會有差別,這個本人真的不知道是為什么了。本人想在脈沖信號是2VPP以上的就保持輸出測量值不變,在2VPP一下的就乘于1.75左右。但現(xiàn)在調(diào)試的還不是很準,信號在不同的峰峰值范圍下所測得的電壓值的誤差還是有比較大的差別。</p><p>  脈沖信號參數(shù)測試儀的調(diào)試過程</p><p>  5.1 硬件調(diào)試過程</p><p>  本人最初的硬件是先

60、做好了MSP430F5438A最小系統(tǒng)板和電源模塊,然后再做其他的外圍模塊。在做MSP430F5438A最小系統(tǒng)板時并不是那么順利,因為MSP430F5438A有100個引腳,而且是貼片的,引腳很小,引腳的腳間距也很小,這是本人第一次焊接這么多引腳的貼片芯片,那晚本人從晚上7點多一直到晚上11點多才把這個芯片焊接好,焊好芯片后第二天才把其他的部分焊好,但有些元件沒到所以還不能馬上焊完,要等幾天元件到了后才能焊完。等做好最小系統(tǒng)板后拿去測

61、試了一下,發(fā)現(xiàn)不能用,下載不了程序,檢查了很久都檢查不出來,叫別人幫檢查也檢查不出來,這可是花了幾天時間做的板子,如果報廢了就浪費了本人幾天的時間了。可能是芯片是不是除了問題的吧,最后實在檢查不出來本人就只好重新做一塊最小系統(tǒng)板了,重新做一塊也是花了幾天的時間,做好后測試出來的結(jié)果也不能用,和第一次一樣,檢查了一下硬件檢查不出來,后來突然覺得是不是原理圖畫錯了,就去檢查一下原理圖,再對比一下芯片資料和網(wǎng)上別人畫的最小系統(tǒng)板圖,發(fā)現(xiàn)原理圖

62、上多了一個電容,把那個電容拆了后,果然就可以下載程序了,可以用了,再把前一次做的板子的那個電容給拆了,</p><p>  做好了最小系統(tǒng)板后本人再做一個電源,電源的元器件已經(jīng)網(wǎng)購回來了,本人先把元件的封裝畫好,然后根據(jù)那些穩(wěn)壓芯片的資料把原理圖畫好,再畫好PCB。因為電源的電路很簡單,也很容易做,以前本人也做過電源,所以這次再重新做一個并不難,電源板子焊好后拿去測試也沒出現(xiàn)過爆電容現(xiàn)象,上次做的電源就爆了兩個電

63、容,因為電容負電壓端的接反了,電阻也燒了一個,因為穩(wěn)壓二極管接反了,而且上次做的是LT1083的穩(wěn)壓芯片,最大電流高達7.5A。上次的電源把低功耗的芯片已經(jīng)燒了幾個了,所以必須得重新做一個。因為汲取了上次的經(jīng)驗,這次本人做的第一次測試的時候就一點問題都沒出現(xiàn),第一次測試后就不用修改就可以用了。</p><p>  有了電源后其他的模塊就可以有電源供電了,然后再做比較器模塊,本人第一次做的是用TLC372的集成比較

64、器,這個比較器很不穩(wěn)定在比較器比較出來的脈沖信號波形邊緣有很多幅度很大的振蕩紋波,測試了幾次都是這樣,就把做好的板子和電路圖和芯片資料上的典型電路圖參考了一下發(fā)現(xiàn)也沒什么錯誤,沒什么接錯的。芯片的典型電路圖有很多個,但沒有本人想要的這種應用的典型電路圖,本人只是參照其中的每個典型電路圖自己畫的,和資料上的典型電路圖都差不多,出來的結(jié)果這么的不理想。聽同學說滯回比較器比較出來的脈沖信號波形邊緣沒有振蕩紋波,不過小信號的很難比較出來,要接一

65、個運放放大小信號才可以,然后本人就重新在做一個比較器,用繼電器選擇小信號放大,而且還加了電壓跟隨器防止能量的損失,板子做好后拿去測試了一下,發(fā)現(xiàn)比較出來的波形真的很不錯,雖然不能保證百分之百沒有紋波,但是紋波很小,幾乎可以忽略了。做好了比較器后開始調(diào)試一下測頻率的程序,發(fā)現(xiàn)測量比較器比較出來的脈沖信號的頻率還是比較準的。不過第二次拿去測得時候有一個電壓跟隨的芯片AD817會發(fā)燙,上電后不到半分鐘就燙得不得了,不得不趕緊把電源給斷開。檢查

66、了幾次電路,又一次一</p><p>  DA模塊是用雙通道的,一開始本人用的是MAX5802,但是這個比較難調(diào),前面也說過了,調(diào)不出來后再用DA8562,這個比較好調(diào),調(diào)一下就可以調(diào)好了。AD模塊一開始是用AD7898,因為這個是串口通信的,但是這個也是調(diào)試了幾天都調(diào)不出來,前面也都有說過的,然后再換成MAX197,MAX197是12位的ADC,8位數(shù)據(jù)通信的,所以要分兩次讀取數(shù)據(jù),而且MAX197有八個模擬輸

67、入通道,已經(jīng)足夠滿足本人的設(shè)計要求了。MAX197的程序也是不難調(diào)的,調(diào)試也不用多久就調(diào)試好了。</p><p>  測量占空比的模塊是用AD637測量有效值,再用有效值來計算出占空比,用這個方法來測量占空比比較方便,而且精度也不錯。頻率的測量是用D觸發(fā)器輸出的方波來測量的,D觸發(fā)器的輸入脈沖是比較器比較后的輸出脈沖,在每一次輸入上升沿的時候D觸發(fā)器輸出的電平改變一次,所以D觸發(fā)器輸出的方波信號頻率是輸入脈沖信號

68、的頻率的一半,測量D觸發(fā)器輸出的方波信號的頻率時還要乘于2得到的才是輸入的被測信號的頻率。</p><p>  峰值檢波用的是無二極管型的峰值檢波電路,用一個TLC372比較器和LM358運放組成的,這個板子做得不怎么好,2VPP一下的脈沖信號測得很不準,做了2個板子都是這樣子,不過脈沖信號在2VPP一下的時候峰值檢波電路所測得的數(shù)值是其的一半左右,所以在這段電壓內(nèi)用幾個公式算出來正確的峰峰值就可以了。</

69、p><p>  5.2 軟件調(diào)試過程</p><p>  峰峰值測量的時候在2VPP一下的所測得的數(shù)值大概是其的一半,上面表4-2本人已經(jīng)把所測得的數(shù)據(jù)寫出來了,上面所測得的數(shù)值是示波器測量的,程序里測量的是MAX197測出來的,MAX197沒校準的數(shù)值肯定會有寫誤差,所以程序里得到的數(shù)據(jù)和上面所測得的數(shù)據(jù)肯定會有差別。硬件測得不準只能在軟件里修改一下,盡量把測量值算出來的結(jié)果算得比較準就可以

70、了。</p><p>  頻率測量是用外部計數(shù)器TM1來測量,再用TM0來做一個1s的定時器,TM0進入中斷時就讀取計數(shù)器的數(shù)值,然后清零計數(shù)器再重新開始計數(shù)。周期則是通過頻率來算出來。測頻率所用到的信號是D觸發(fā)器輸出的信號,D觸發(fā)器的輸入脈沖信號就是被測信號。</p><p>  上升下降沿測量時也是和頻率測量用同一個計數(shù)器,當測量上升下降沿時就停止頻率的測量,而且測量上升下降沿是用系統(tǒng)

71、的內(nèi)部時鐘。本人一開始是想用10%、90%這兩個的比較輸出脈沖和這兩個輸出脈沖相與后的脈沖來測量上升下降沿的。MSP430的P1和P2口也可以作為外部中斷IO口,可以設(shè)為上升沿或者下降沿來觸發(fā)IO口中斷,本人想用這三個脈沖信號來作為三個IO口的中斷信號,用這種方法來測量上升下降沿時間,但是這種方法測得不準,有時候甚至測不出來。而且當頻率高時,程序就頻繁進入IO口中斷,這樣主函數(shù)根本沒多少機會執(zhí)行了。所以后來才想著和頻率測量的用同一個計數(shù)

72、器。這是只測量高電平的時間,因為一般的有規(guī)律的信號上升沿下降沿的信號的時間都是一樣的。要區(qū)分上升下降沿的時候也還要用到IO口中斷,也試著用過,但是用的時候就是測得不準,甚至都測不出來,所以就把上升下降沿都用同一個時間,就是只測量高電平的時間。比較器本來是輸入10%和90%兩路電壓來輸出比較電平,但是在峰峰值小于2VPP時輸入90%的那一路就比較不出來,改為50%都比較不出來,所以在脈沖信號小于2VPP的都是用10%和40%左右來輸入比較

73、電平的,所以</p><p>  占空比的測量是把AD637所測得的有效值在除于峰峰值,然后再把所得到的數(shù)值平方所得。因為所測占空比的信號都是從比較器輸出來的,峰峰值都是不變的,所以軟件里的峰峰值就用一個固定的數(shù)值就可以了。下面舉一個測試的例子。</p><p>  當給比較器輸入一個10KHz、2VPP的正弦信號時,脈沖信號參數(shù)測試儀所測得的數(shù)據(jù)是:頻率10009Hz,周期0.1ms、峰

74、峰值2.013VPP,占空比13%,上升沿的時間是14.5us,下降沿的時間是14.5us。示波器所測得的數(shù)據(jù)如下圖所示。由圖4-2-1可以看出,被測的方波頻率為5KHz,因為這個方波信號是所被測信號的二分頻。由圖4-2-2可以看出,用來測量上升下降沿的脈沖信號高電平時間是15us,與脈沖信號參數(shù)測試儀所測得的14.5us相差不是很大。實際占空比為15us/100us=15%。所以頻率誤差:|10009-10000|/10000*100

75、%=0.09%;周期誤差:|0.1-0.1|/0.1*100%=0;峰峰值誤差:|2.013-2|/2*100%=0.65%;占空比誤差:|13-15|/15*100%=13.3%;上升下降沿時間誤差:|14.5-15|/15*100%=3.3%;從這個測得的數(shù)值看出,只有占空比誤差大了一點,其他的誤差都沒那么大。但在不同峰峰值測量時他們的誤差都會有新的差別。</p><p>  D觸發(fā)器輸出的方波如下圖所示:&

76、lt;/p><p>  圖5.1 D觸發(fā)器輸出的方波信號</p><p>  測上升下降沿所用到的脈沖信號如圖所示:</p><p>  圖5.2 測上升下降沿所用到的脈沖信號</p><p><b>  對系統(tǒng)的總結(jié)與展望</b></p><p><b>  6.1 總結(jié)</b>

77、;</p><p>  現(xiàn)在本系統(tǒng)已經(jīng)能測量一些脈沖信號的峰峰值、頻率、周期、占空比、上升沿下降沿時間的參數(shù),但是測量的精度還是不夠好,這與本人做這塊板子的水平有很大的關(guān)系。主要是硬件制約了測量的精度,硬件做得精度很差的話,軟件就算怎么修改都不能把精度改得很好,而且靠軟件來修改精度的話比較麻煩,又不穩(wěn)定。這個系統(tǒng)還有很多不穩(wěn)定的因素本人還沒找出來,比如說比較器部分的AD817電壓跟隨為什么有時候會發(fā)燙,有時候又不

78、發(fā)燙。所以板子做的還是不怎么好。</p><p><b>  6.2 展望</b></p><p>  隨著時代的發(fā)展,特別是對于測量小信號的要求越來越高,以后對脈沖信號參數(shù)測試儀的要求也會越來越高,不僅精度上要求很高,而且速度上也要求很高。本人相信以后會有比現(xiàn)在的示波器更好的脈沖參數(shù)測試儀出現(xiàn)的。</p><p><b>  謝

79、辭</b></p><p>  從論文選題到資料搜集,從做一次一次地板子到反反復復地調(diào)程序,從寫稿到反復修改,期間經(jīng)歷了喜悅、痛苦、煩躁和彷徨,在畢業(yè)設(shè)計的過程中心情是如此的復雜,特別是做板子和調(diào)程序的時候,喜怒哀樂都是經(jīng)常變化的?,F(xiàn)在本次畢業(yè)設(shè)計的任務已經(jīng)完成了大部分,也將要驗收了。在做畢業(yè)設(shè)計的過程中,感謝老師為本人們提供了實驗室,可以讓本人隨時都可以在實驗室測試板子,調(diào)試程序。感謝老師為本人開放

80、了一臺電腦,使本人能裝一個軟件到電腦去,使本人下次重新開那臺電腦時也不用再安裝那個軟件了,不過可能那臺電腦太受歡迎了,本人裝有程序的那臺電腦經(jīng)常有人占著的,所以本人都很少能用,只能開別的電腦,每次開機都得重新裝過軟件,后來習慣那臺本人沒裝有軟件的電腦了就經(jīng)常在那臺上了,就是每次開機都要重新裝過,有點麻煩。這次畢業(yè)設(shè)計還得益于幾個同學的幫助,感謝那幾位同學能及時幫本人解決疑難問題。感謝指導老師對本人畢業(yè)設(shè)計過程中的指導。最后還要感謝陪本人

81、一起熬夜測板子,調(diào)程序的同學們。</p><p><b>  參考文獻</b></p><p>  [1] 康華光.電子技術(shù)基礎(chǔ)模擬部分(第五版)[M].高等教育出版社,2006:45~89.</p><p>  [2] 閻石.數(shù)字電子技術(shù)基礎(chǔ)(第五版)[M].高等教育出版社,2006:34~79.</p><p>

82、  [3] 譚浩強.C程序設(shè)計(第三版)[M].清華大學出版社,2005.</p><p>  [4] 白駒珩,雷曉平.單片計算機及其應用(第二版)[M].電子科技大學出版社,2005.</p><p>  [5] 高晉占編著. 微弱信號檢測[M].北京:清華大學出版社,2004.</p><p>  [6] 張建,韓薪莘,房曉溪,程學慶.LABVIEW圖形

83、化編程與實例應用[M].北京:中國鐵道出版社,2005.</p><p>  [7] 戴鵬飛,王勝開,王格芳,馬欣.測試工程與LABVIEW應用[M].北京:電子工業(yè)出版社,2006:32~96.</p><p>  [8] 楊樂平,李海濤,宵相生,等.LABVIEW程序設(shè)計與應用[M].北京:電子工業(yè)出版社,2001.</p><p>  [9] 胡大可.M

84、SP430系列Flash型超低功耗16位單片機[M].北京:北京航空航天大學出版社,2001.</p><p>  [10] 張晞,王德銀,張晨.MSP430系列單片機實用C語言程序設(shè)計[M].北京:人民郵電出版社,2005.</p><p>  [11] 胡大可.MSP430系列單片機C語言程序設(shè)計與開發(fā)[M].北京:北京航空航天大學出版社,2003.</p><

85、p>  [12] 秦龍.MSP430單片機C語言應用程序設(shè)計實例精講[M].北京:電子工業(yè)出版社,2006.</p><p>  [13] 沈建華,楊艷琴,翟驍曙.MSP430系列16位超低功耗單片機原理與應用[M].北京:清華大學出版社,2004.</p><p>  [14] National, Instruments. LabVIEW 2009, Student Edit

86、ion[M]. Publisher by Prentice Hall,2009.</p><p>  [15] 沙占友.AD637型真有效植/直流轉(zhuǎn)換器[J]:今日電子,1994.</p><p><b>  附 錄</b></p><p>  附錄1:整體系統(tǒng)板效果圖</p><p><b>  附錄2:

87、程序</b></p><p>  DAC8562的程序:</p><p>  extern void Send_datas(uint32 datas)</p><p><b>  {</b></p><p><b>  uint8 i;</b></p><p>&

88、lt;b>  SYNC_L;</b></p><p>  for(i=0;i<24;i++)</p><p><b>  {</b></p><p>  if(datas & 0X800000) DIN_H;</p><p>  else DIN_L;</p><p&g

89、t;<b>  SCL_H;</b></p><p>  datas <<= 1;</p><p><b>  SCL_L;</b></p><p><b>  }</b></p><p><b>  SYNC_H;</b></p>

90、<p><b>  }</b></p><p>  /***********************************</p><p>  n--通道選擇:0,通道A : 1,通道B</p><p>  ***********************************/</p><p>  ex

91、tern void send_v(uint8 n,float v)</p><p><b>  {</b></p><p>  uint32 vv;</p><p><b>  float i;</b></p><p>  if(v>1.8) i=0.9;</p><p&g

92、t;  else if(v>0.8) i=0.4;</p><p>  else i=0.3;</p><p>  if(n == 0) vv = 0X000000 + (uint32)(v * 65535 / REF*i );</p><p>  else if(n == 1) vv = 0x010000 + (uint32)(v * 65535 / REF

93、*i);</p><p>  Send_datas(vv);</p><p><b>  }</b></p><p>  MAX197的程序:</p><p>  float Max197_Read(unsigned char addr)</p><p><b>  {</b>

94、;</p><p>  unsigned int hdata;</p><p>  float vol = 0.0;</p><p>  if(addr>7) addr = 7;</p><p>  MAX197_CS_L;</p><p>  MAX197_WR_L;</p><p> 

95、 MAX197_DATA_DIR = 0XFF;</p><p>  MAX197_DATA_OUT = 0X40+addr;</p><p>  MAX197_WR_H;</p><p>  MAX197_CS_H;</p><p>  while(MAX197_INT);</p><p>  MAX197_DATA

96、_OUT = 0XFF;</p><p>  MAX197_CS_L;</p><p>  MAX197_RD_L;</p><p>  MAX197_HBEN_H;</p><p>  MAX197_DATA_DIR = 0X00;</p><p>  hdata = MAX197_DATA_IN;</p>

97、;<p>  hdata <<= 8;</p><p>  MAX197_HBEN_L;</p><p>  hdata |= MAX197_DATA_IN;</p><p>  MAX197_HBEN_H;</p><p>  MAX197_RD_H;</p><p>  MAX197_CS

98、_H;</p><p>  vol = hdata*5.0/4095*1.0;</p><p>  return vol;</p><p><b>  }</b></p><p>  /************end************</p><p>  MAX197寄存器設(shè)置:</p

99、><p><b>  控制字格式:</b></p><p>  D7(MSB) D6 D5 D4 D3 D2 D1 D0(LSB)</p><p>  PD1 PD0 ACQMOD RNG BIP A2 A1 A0 <

100、/p><p><b>  控制字說明:</b></p><p>  PD1,PD0 ----- 選擇時鐘和掉電模式</p><p>  ACQMOD ----- 0:內(nèi)部獲取模式;1:外部獲取模式</p><p>  RNG ----- 選擇滿幅輸入電壓</p><p>  BIP ----- 選擇輸

101、入極性</p><p>  A2,A1,A0 ----- 選擇輸入通道</p><p>  PD1,PD0 ----- 00:一般模式/外部時鐘</p><p>  01:一般模式/內(nèi)部時鐘</p><p>  10:Standby Power-Down/時鐘不受影響</p><p>  11:Full Power-D

102、own (FULLPD)/時鐘不受影響</p><p>  RNG,BIP ----- 00: 0V ~ +5V</p><p>  01:-5V ~ +5V</p><p>  10: 0V ~ +10V</p><p>  11:-10V~ +10V</p><p>  A2,A1,A0 ----- 000:C

103、H0</p><p><b>  001:CH1</b></p><p><b>  010:CH2</b></p><p><b>  011:CH3</b></p><p><b>  100:CH4</b></p><p>&

104、lt;b>  101:CH5</b></p><p><b>  110:CH6</b></p><p>  111:CH7 </p><p>  *******************************************/</p><p><b>  主函數(shù)部分:</

105、b></p><p>  void Time1_Init(void)</p><p><b>  {</b></p><p>  TA1CTL|= TASSEL_1 + MC_1;</p><p>  TA1CCR0 = (32768-1);//1s</p><p>  TA1CCR1

106、= (32768-1);//1s</p><p>  TA1CCTL1 = CCIE;//CCR0開中斷允許</p><p><b>  }</b></p><p>  void main()</p><p><b>  {</b></p><p>  SCLK_Init()

107、; </p><p>  delayms(1000);</p><p>  Time0_Init();</p><p>  Time1_Init();</p><p>  Init_Lcd();</p><p>  Init_Max197();</p><p>  Init_DAC8562();

108、</p><p><b>  _EINT();</b></p><p>  P5DIR |= BIT4;</p><p><b>  while(1)</b></p><p><b>  { </b></p><p>  delayms(10

109、0);</p><p>  volt = Max197_Read(0);</p><p>  if(volt<0.2) volt *= 1.48;</p><p>  else if(volt<0.3) volt *= 1.51;</p><p>  else if(volt<0.63) volt *= 1.57;</

110、p><p>  else if(volt<1.6) volt *= 1.58;</p><p>  else volt *= 0.885;</p><p>  volt=volt*1.154;</p><p>  if(volt<0.8)</p><p><b>  {</b></p

111、><p>  P5OUT |= BIT4; //選擇信號放大通道</p><p>  send_v(0,volt*0.254); send_v(1,volt*2.282);</p><p><b>  }</b></p><p><b>  else </b></p><p>

112、;<b>  {</b></p><p>  P5OUT &=~BIT4;</p><p>  send_v(0,volt*0.1); send_v(1,volt*0.9);</p><p><b>  }</b></p><p>  TA1CCTL0 = CCIE;</p>

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論