2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩18頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、<p><b>  畢業(yè)論文</b></p><p><b>  摘 要</b></p><p>  本論文介紹了FIR數字濾波器的設計方法,即窗函數法。在此基礎上,用MATLAB實現(xiàn)IIR數字濾波器。介紹了IIR數字濾波器的傳統(tǒng)設計思想與步驟,及其計算機輔助設計方法。以一數字帶通濾波器為例,著重說明了基于MATLAB的三種濾波器

2、的實現(xiàn)手段:模擬低通原型、合適模擬帶通及直接原型,為數字濾波器設計帶來全新的實現(xiàn)手段。</p><p>  關鍵詞:濾波 IIR濾波器 FIR濾波器 MATLAB</p><p><b>  目 錄</b></p><p><b>  第一章  前言 </b></p><p>

3、  1.1 MATLAB 軟件簡介 ………………………………………………………………(4)1.2數字濾波器技術的發(fā)展狀況 …………………………………………………(5)</p><p>  第二章  數字濾波器的基本概念</p><p>  2.1數字濾波器的概況 ……………………………………………………………(6)</p><p>  2.2 FI

4、R 數字濾波器的基本概念 ……………………………………………………(6)2.2.1 FIR 數字濾波器的窗函數設計法 …………………………………………(7)</p><p>  2.2.2 窗函數設計法的步驟 ………………………………………………………(7)</p><p>  2.3 MATLAB環(huán)境下的實例 …………………………………………………………(9)</p&g

5、t;<p>  2.3.1高通濾波器的設計 ……………………………………………………………(9)</p><p>  2.3.2低通濾波器的設計 …………………………………………………………(10)第三章  IIR數字濾波器的設計過程及方法</p><p>  3.1 IIR濾波器的基本特點 …………………………………………………………(13)</p>

6、;<p>  3.2 IIR濾波器的設計思路與步驟 …………………………………………………(14)</p><p>  3.3 IIR 濾波器的設計 ……………………………………………………………(14)</p><p>  3.4 IIR濾波器設計方法MATLAB的實現(xiàn) …………………………………………(15)</p><p>  3.4.1 基

7、于模擬低通原型的MATLAB實現(xiàn) …………………………………………(15)</p><p>  3.4.2基于合適類型模擬濾波器的MATLAB實現(xiàn) …………………………………(16)</p><p>  3.4.3 基于直接原型變換法的MATLAB實現(xiàn) ………………………………………(18)</p><p>  總  結……………………………………………

8、……………………………………(19)參考文獻………………………………………………………………………………(20)</p><p><b>  第一章  前言</b></p><p>  1.1. MATLAB簡介</p><p>  MATLAB (Matrix Laboratory)為美國Mathworks公司1983年首次推出的

9、一套高性能的數值分析和計算軟件,其功能不斷擴充,版本不斷升級,1992年推出劃時代的4.0版,1993年推出了可以配合Microsoft Windous使用的微機版,95年4.2版,97年5.0版,99年5.3版,5.X版無論是界面還是內容都有長足的進展,其幫助信息采用超文本格式和PDF格式,可以方便的瀏覽。至2001年6月推出6.1版,2002年6月推出6.5版,繼而推出6.5.1版, 2004年7月MATLAB7和Simulink6

10、.0被推出,目前的最新版本為7.1版。     MATLAB將矩陣運算、數值分析、圖形處理、編程技術結合在一起,為用戶提供了一個強有力的科學及工程問題的分析計算和程序設計工具,它還提供了專業(yè)水平的符號計算、文字處理、可視化建模仿真和實時控制等功能,是具有全部語言功能和特征的新一代軟件開發(fā)平臺。     MATLAB 已發(fā)展成為適合眾多學科,多種工作平臺、功能強大的大型軟件。

11、在歐美等國家的高校,MATLAB已成為線性代數、自動控制理論、數理統(tǒng)計、數字信號</p><p>  在應用程序接口方面增加了與Java的接口(Interface for Java),并為二者的數據交換提供了相應的程序庫。</p><p>  1.2數字濾波器技術的發(fā)展狀況及簡介</p><p>  數字濾波器是數字信號處理理論的一部分。數字信號處理主要是研究用數字

12、或符號的序列來表示信號波形,并用數字的方式去處理這些序列,把它們改變成在某種意義上更為有希望的形式,以便估計信號的特征參量,或削弱信號中的多余分量和增強信號中的有用分量。具體來說,凡是用數字方式對信號進行濾波、變換、調制、解調、均衡、增強、壓縮、固定、識別、產生等加工處理,都可納入數字信號處理領域。 濾波器的種類很多,從功能上可以分為低通、高通、帶通和帶阻濾波器,上述每種濾波器又可以分為模擬濾波器和數字濾波器。如果濾波器的輸入輸出

13、都是數字信號,則這樣的濾波器稱之為數字濾波器,它通常通過一定的運算關系改變輸入信號所含頻率成分的相對比例或者濾除某些頻率成分來實現(xiàn)濾波。根據數字濾波器沖激響應的時域特性,可將數字濾波器分為兩種,即無限長沖激響應(IIR)濾波器和有限長沖激響應(FIR)濾波器。有數字信號處理的一般理論可知,IIR濾波器的特征是具有無限持續(xù)時間的沖激響應,而FIR濾波器使沖激響應只能持續(xù)一定的時間。</p><p>  第二章 數字

14、濾波器的基本概念</p><p>  2.1數字濾波器的概況</p><p>  數字濾波技術是數字信號處理的一個重要組成部分,濾波器的設計是信號處理的核心問題之一。根據FIR濾波器的原理,提出了FIR濾波器的窗函數設計法,并對常用的幾種窗函數進行了比較。給出了在MATLAB環(huán)境下,用窗函數法設計FIR濾波器的過程和設計實例。仿真結果表明,設計的FIR濾波器的各項性能指標均達到了指定要求,

15、設計過程簡便易行。該方法為快速、高效地設計FIR濾波器提供了一個可靠而有效的途徑。 隨著信息時代的到來,數字信號處理已經成為當今一門極其重要的學科和技術,并且在通信、語音、圖像、自動控制等眾多領域得到了廣泛的應用。在數字信號處理中,數字濾波器占有極其重要的地位,它具有精度高、可靠性好、靈活性大等特點。現(xiàn)代數字濾波器可以用軟件或硬件兩種方式來實現(xiàn)。軟件方式實現(xiàn)的優(yōu)點是可以通過濾波器參數的改變去調整濾波器的性能?! ATLAB是

16、一種面向科學和工程計算的語言,它集數值分析、矩陣運算、信號處理和圖形顯示于一體,具有編程效率高、調試手段豐富、擴充能力強等特點。MATLAB的信號處理工具箱具有強大的函數功能,它不僅可以用來設計數字濾波器,還可以使設計達到最憂化,是數字濾波器設計的強</p><p>  2.2 FIR數字濾波器的基本概念</p><p>  R濾波器的數學表達式為:</p><p>

17、;  式中,N是FIR濾波器的抽頭數,x(n)表示第n時刻的輸入樣本;h(i)是FIR濾波器的第i級抽頭系數。</p><p>  普通的直接型FIR濾波器結構如圖1所示。</p><p>  FIR濾波器實質上是一個分節(jié)的延遲線,把每一節(jié)的輸出加權累加,便得到濾波器的輸出。對于FIR濾波器,幅度上只需滿足以下兩個條件之一,就能構成線性相位FIR濾波器。</p><p&

18、gt;  h(n)=h(N-1-n) (2)</p><p>  h(n)=-h(N-1-n) (3)(沒有式一?)</p><p>  式(2)稱為第一類線性相位的幅度條件(偶對稱),式(3)稱為第二類線性相位的幅度條件(奇對稱)。</p><p>  2.2.1 FIR濾波器的設計</p><p>  根據沖激響應的時域特性,數字濾波器可

19、分為無限長沖激響應濾波器(IIR)和有限長沖激響應濾波器(FIR)。FIR的突出優(yōu)點是:系統(tǒng)總是穩(wěn)定的、易于實現(xiàn)線性相位、允許設計多通帶(或多阻帶)濾波器,但與IIR相比,在滿足同樣阻帶衰減的情況下需要的階數較高。濾波器的階數越高,占用的運算時間越多,因此在滿足指標要求的情況下應盡量減少濾波器的階數?! IR濾波器的基本結構可以理解為一個分節(jié)的延時線,把每一節(jié)的輸出加權累加,可得到濾波器的輸出。FIR濾波器的沖激響應h(n)是有限長

20、的,數學上M階FIR濾波器可以表示為:    FIR濾波器的設計問題實質上是確定能滿足所要求的轉移序列或脈沖響應的常數的問題,設計方法主要有窗函數法、頻率采樣法和等波紋最佳逼近法等。</p><p>  2.2.2 窗函數設計法的步驟</p><p>  窗函數設計法是一種通過截短和計權的方法使無限長非因果序列成為有限長脈沖響應序列的設計方法。通常在設計濾波器之前,應該先根據具體的工程

21、應用確定濾波器的技術指標。在大多數實際應用中,數字濾波器常常被用來實現(xiàn)選頻操作,所以指標的形式一般為在頻域中以分貝值給出的相對幅度響應和相位響應?! ∮么昂瘮捣ㄔO計FIR濾波器的步驟如下: ?。?)根據過渡帶寬及阻帶衰減要求,選擇窗函數的類型并估計窗口長度N(或階數M=N-1)。窗函數類型可根據最小阻帶衰減AS獨立選擇,因為窗口長度N對最小阻帶衰減AS沒有影響。在確定窗函數類型以后,可根據過渡帶寬小于給定指標確定所擬用的窗函數的窗口

22、長度N。設待求濾波器的過渡帶寬為△ω,它與窗口長度N近似成反比。窗函數類型確定后,其計算公式也確定了,不過這些公式是近似的,得出的窗口長度還要在計算中逐步修正。原則是在保證阻帶衰減滿足要求的情況下,盡量選擇較小的N。在N和窗函數類型確定后,即可調用MATLAB中的窗函數求出窗函數wd(n)。 ?。?)根據待求濾波器的理想頻率響應求出理想單位脈沖響應hd(n)。如果給出待求濾波器的頻率響應為Hd(ejω),則理想的單位脈沖響</p

23、><p>  變換式求出:    在一般情況下,hd(n)是不能用封閉公式表示的,需要采用數值方法表示。從ω=0到ω=2π采樣N點,采用離散傅里葉反變換(IDFT)即可求出?! 。?)計算濾波器的單位脈沖響應h(n)。它是理想單位脈沖響應和窗函數的乘積,即h(n)=hd(n)·wd(n),在MATLAB中用點乘命令表示為h=hd·wd?! 。?)驗算技術指標是否滿足要求。為了計算數字濾波器

24、在頻域中的特性,可調用freqz子程序,如果不滿足要求,可根據具體情況,調整窗函數類型或長度,直到滿足要求為止?! ∈褂么昂瘮捣ㄔO計時要滿足以下兩個條件: ?。?)窗譜主瓣盡可能地窄,以獲得較陡的過渡帶; ?。?)盡量減少窗譜的最大旁瓣的相對幅度,也就是使能量盡量集中于主瓣,減小峰肩和紋波,進而增加阻帶的衰減?! 「鶕こ探涷?,給定的濾波器指標參數一般為通帶截止頻率ωp、阻帶截止頻率ωs、實際通帶波動Rp和最小阻帶衰減As。窗函

25、數設計的經驗公式為:    在實際工程中常用的窗函數有五種,即矩形窗、三角窗、漢寧窗、海明窗和凱澤窗。這些窗函數在MATLAB中分別用boxcar、triang、hann</p><p>  2 .3 MATLAB環(huán)境下的設計實例</p><p>  2.3.1 高通濾波器的設計</p><p>  用窗函數設計高通濾波器,性能指標如下:通帶截止頻率ωs=0.2

26、π,阻帶截止頻率ωp=0.3π,實際通帶波動Rp=0.25dB,最小阻帶衰減As=70dB?! 》治觯簭谋?可以看出凱澤窗能提供74dB的最小阻帶衰減,所以選用凱澤窗進行設計,程序主要部分如下:  As=70;  ωs=0.2*π;  ωp=0.3*π  tr_width=ωp-ωs;                %計算過渡帶寬  M=ceil((As-7.95)*2*π/(14.36*tr_width)+1)+1; 按凱

27、澤窗計算濾波器長度  disp([’濾波器的長度為’,num2str(M)]);  beta=0.1102*(As-8.7); %計算凱澤窗的β值  n=[0:1:M-1];  disp([’線性相位斜率為’,num2str(beta)]);  w_kai=(kaiser(M,beta))’; %求凱澤窗函數  ωc=(ωs+ωp)/2;  hd=ideal_lp(π,M)-ideal

28、_lp(ωc,M); %求理想脈沖響應   h</p><p>  axis([0 M-1 0 1.1]);      ylabel(’wd(n)’);      subplot(2,2,3);      stem(n,h);      title(’實際脈沖響應’);      axis([0 M-1 -0.4 0.8]);      xlabel(’n’);ylabel(’h(n)’)

29、;      subplot(2,2,4);      plot(ω/π,db);      title(’幅度響應/dB’);      axis([0 1 -100 10]);      grid;      xlabel(’以π為單位的頻率’);      ylabel(’分貝數/dB’);  程序運行結果如圖1所示。實際通帶波動為0.04369,最小阻帶衰減為70,濾波器長度為89,線性相位斜率為6.7553,符

30、合設計要求。(下圖是否能通過仿真完成?)</p><p>  2.3.2 低通濾波器的設計</p><p>  用窗函數設計低通濾波器,性能指標如下:通帶截止頻率ωp=0.1π,阻帶截止頻率ωs=0.25π,實際通帶波動Rp=0.10dB,最小阻帶衰減As=40dB?! 》治觯簭谋?可以看出,漢寧窗、海明窗和凱澤窗能提供大于40dB的最小阻帶衰減。但漢寧窗的旁瓣峰值較小,而主瓣寬度和海

31、明窗一樣??梢允篂V波器的階數較少,所以選用漢寧窗進行設計,程序主要部分如下:  ωp=0.10*π;  ωs=0.25*π;   tr_width=ωs-ωp; %計算過渡帶寬  M=ceil(6.6*/tr_width)+1; %按漢寧窗計算濾波器長度  disp([’濾波器的長度為’,num2str(M)]);  n=0:M-1;  ωc=(ωs+ωp)/2; %截止頻率取為兩邊緣頻率的平均值  hd=ideal_l

32、p(ωc,M); %求理想脈沖響應  w_han=(hanning(M))’; %求漢寧窗函數  h=hd*w_han; %設計的脈沖響應為理想脈沖響應與窗函數乘積  [db,mag,pha,grd,ω]=freqz_m(h,[1]);%以下為作圖語句  delta_ω=2</p><p>  與其他高級語言的程序設計相比,MATLAB環(huán)境下可以更方便、快捷地設計出具有嚴格線性相位的FIR濾波器,節(jié)省大量

33、的編程時間,提高編程效率,且參數的修改也十分方便,還可以進一步進行優(yōu)化設計。相信隨著版本的不斷提高,MATLAB在數字濾波器技術中必將發(fā)揮更大的作用。同時,用MATLAB計算有關數字濾波器的設計參數,如H(z)、h(n)等,對于數字濾波器的硬件實現(xiàn)也提供了一條簡單而準確的途徑和依據。</p><p>  第三章 IIR數字濾波器設計過程及方法 3.1 IIR數字濾波器的基本特點 </p>

34、<p>  1.IIR數字濾波器的系統(tǒng)函數可以寫成封閉函數的形式。</p><p>  2.IIR數字濾波器采用遞歸型結構,即結構上帶有反饋環(huán)路。IIR濾波器運算結構通常由延時、乘以系數和相加等基本運算組成,可以組合成直接型、正準型、級聯(lián)型、并聯(lián)型四種結構形式,都具有反饋回路。由于運算中的舍入處理,使誤差不斷累積,有時會產生微弱的寄生振蕩。 </p><p>  3.IIR數字濾

35、波器在設計上可以借助成熟的模擬濾波器的成果,如巴特沃斯、契比雪夫和橢圓濾波器等,有現(xiàn)成的設計數據或圖表可查,其設計工作量比較小,對計算工具的要求不高。在設計一個IIR數字濾波器時,我們根據指標先寫出模擬濾波器的公式,然后通過一定的變換,將模擬濾波器的公式轉換成數字濾波器的公式。 </p><p>  4.IIR數字濾波器的相位特性不好控制,對相位要求較高時,需加相位校準網絡。 在MATLAB下設計IIR濾

36、波器可使用Butterworth函數設計出巴特沃斯濾波器,使用Cheby1函數設計出契比雪夫I型濾波器,使用Cheby2設計出契比雪夫II型濾波器,使用ellipord函數設計出橢圓濾波器。下面主要介紹前兩個函數的使用。 與FIR濾波器的設計不同,IIR濾波器設計時的階數不是由設計者指定,而是根據設計者輸入的各個濾波器參數(截止頻率、通帶濾紋、阻帶衰減等),由軟件設計出滿足這些參數的最低濾波器階數。在MATLAB下設計不同類型

37、IIR濾波器均有與之對應的函數用于階數的選擇。 IIR單位響應為無限脈沖序列FIR單位響應為有限的;iir幅頻特性精度很高,不是線性相位的,可以應用于對相位信息不敏感的音頻信號上; fir幅頻特性精度較之于iir低,但是線性相位,就是不同頻率分量的信號經過fir濾波器后他們的時間差不變。這是很好的性質。 另外有限的單位響應也有利于對數字信號的處理,便于編程,用于計算的時延也小,這對實時的信號處理很重要。</p><

38、;p>  數字濾波器是具有一定傳輸選擇特性的數字信號處理裝置,其輸入、輸出均為數字信號,實質上是一個由有限精度算法實現(xiàn)的線性時不變離散系統(tǒng)。它的基本工作原理是利用離散系統(tǒng)特性對系統(tǒng)輸入信號進行加工和變換,改變輸入序列的頻譜或信號波形,讓有用頻率的信號分量通過,抑制無用的信號分量輸出。數字濾波器和模擬濾波器有著相同的濾波概念,根據其頻率響應特性可分為低通、高通、帶通、帶阻等類型,與模擬濾波器相比,數字濾波器除了具有數字信號處理的固有

39、優(yōu)點外,還有濾波精度高(與系統(tǒng)字長有關)、穩(wěn)定性好(僅運行在0與l兩個電平狀態(tài))、靈活性強等優(yōu)點。數字濾波器按單位脈沖響應的性質可分為無限長單位脈沖響應濾波器IIR和有限長單位脈沖響應濾波器(FIR)兩種。本文介紹(IIR)數字濾波器的設計與分析。</p><p>  3.2 IIR數字濾波器設計思路與步驟   IIR 數字濾波器可用一個n階差分方程y(n)=Σbrx(n-r)+Σaky(

40、n-k),或用它的Z域系統(tǒng)函數: 對照模擬濾波器的傳遞函數:    不難看出,數字濾波器與模擬濾波器的設計思路相仿,其設計實質也是尋找一組系數{b,a},去逼近所要求的頻率響應,使其在性能上滿足預定的技術要求;不同的是模擬濾波器的設計是在S平面上用數學逼近法去尋找近似的所需特性H(S),而數字濾波器則是在Z平面尋找合適的H(z)。IIR數字濾波器的單位響應是無限長的,而模擬濾波器一般都具

41、有無限長的單位脈沖響應,因此與模擬濾波器相匹配。由于模擬濾波器的設計在理論上已十分成熟,因此數字濾波器設計的關鍵是將H(S)→H(Z),即,利用復值映射將模擬濾波器離散化。已經證明,沖擊響應不變法和雙線性變換法能較好地擔當此任,則在此基礎上,數字濾波器的設計就可首先歸結為模擬濾波器的設計了。   數字濾波器的設計步驟如圖3.1所示。  </p><p>  圖3.1 數字濾波器

42、設計步驟</p><p>  3.3 IIR數字濾波器設計方法   IIR數字濾波器的設計方法有多種,可歸納為下述兩種。1 .傳統(tǒng)設計方法   根據前述設計思路,首先設計一個模擬原型濾波器(截止頻率為1rad/s的低通濾波器),然后在模擬域(S平面)進行頻率變換,將模擬原形濾波器轉換成所需類型(指定截止頻率的低通、高通、帶通、帶阻)的模擬濾波器,再將其數字離散化,從S

43、平面映射至Z平面,得到所需技術指標的數字濾波器。   上述過程中,也可先將模擬原型離散化,得到數字原型濾波器,繼而在數字域(Z平面)進行頻率變換,得到所需類型的數字濾波器。   模擬濾波器到數字濾波器的轉換可在時域進行也可在頻域實現(xiàn),時域轉換的關鍵是要使數字濾波器與模擬濾波器時域響應的采樣值相等,以保持其瞬態(tài)特性不變,常用的是沖擊響應不變法。頻域變換法必須使得數字濾波器在-π≤ω≤π范圍內的幅

44、頻特性與模擬濾波器在-π/T≤Ω≤π/T 范圍內的幅頻特性一致,即保證S平面與z平面上幅頻特性的一一單值對應關系,常用的是雙線性變換法。2.計算機輔助設計方法   傳統(tǒng)設計方法思路清晰,步驟詳盡,可參閱公式、手冊循章而行。但由于計算繁瑣,手工計算大多</p><p>  3 .4各種設計方法的MATLAB實現(xiàn)3.4.1 基于模擬低通原型的MATLAB實現(xiàn)</p><p

45、>  通過模擬低通原型濾波器進行數字帶通設計程序:fp=480 %模擬低通通帶上限頻率fs=520 %模擬低通阻帶下限頻率wp=2*pi*fp %模擬低通通帶上限角頻率ws=2*pi*fs %模擬低通阻帶下限角頻率rp=3 %通帶波動rs=20 %阻帶衰減%巴特沃斯模擬低通原型濾波器設計[n,wn]=buttord(wp,WS,rp ,rs,'s')[z,p,k]=buttap(n) %模擬低通原

46、型零、極點系數[b1,a1]=zp2tf(z,p,k) %零、極點系數轉換為傳遞函數%巴特沃斯模擬低通原型濾波器頻率響應[hl,w1]=freqs(b1,a1)mag1=abs(h1)模擬低通原型濾波器幅頻特性曲線subplot(221);semilogx(w1,mag1)fw=40 %模擬帶通濾波器帶寬頻率bw=2*pi*fw %模擬帶通濾波器帶寬角頻率由模擬低通原型變換為模擬帶通濾波器[b2,a2]=lp2bp(

47、b1,a1,wn,bw) %模擬帶通濾波函數系數巴特沃斯模擬帶通濾波器頻率響應[h2,w2]= freqs(b2,a2)mag2 =abs(1</p><p>  通過合適類型模擬濾波器進行數字帶通設計程序fp= [480,520];fs=[450,550] %模擬通帶、阻帶頻率wp=[480,520]*pi*2 %模擬通帶角頻率ws=[450,550]*pi*2 %模擬阻帶角頻率rp=3;rs=2

48、0 %通帶波動、阻帶衰減巴特沃斯型模擬帶通濾波器設計[n,wn]=buttord (wp,ws,rp,rs,'s')[b,a]=butter(n,wn,'s') %模擬帶通濾波函數系數巴特沃斯型模擬帶通濾波器頻率響應[ha,w]= freqs(b,a)ma=abs(ha);pha=unwrap(angle(ha))subplot(421);plot(w/(2*pi),ma) %模擬幅頻曲線

49、subplot(423);plot(w/(2 pi),pha) %模擬相頻曲線沖擊響應不變法進行離散化設計fo=5000 %采樣頻率[bn,an]=impinvar(b,a,5000) %數字帶通濾波函數系數巴特沃斯型數字帶通濾波器頻率響應[hz,w]=freqz(bn,an)mz=abs(hz);phz=unwrap(angle(</p><p>  總結:    基

50、于MATLAB的信號處理工具箱為數字濾波器設計帶來了全新的實現(xiàn)手段,設計快捷方便,仿真波形直觀。上述三種設計方案均可實現(xiàn)設計指標,但以直接原型變換法最為簡便。實際應用中,數字濾波器也可以對連續(xù)時間信號進行處理,但需要先對連續(xù)信號進行A/D變換,經數字濾波后,再經D/A轉換得到所需要的連續(xù)信號。</p><p><b>  參考文獻</b></p><p>  1

51、60;倪養(yǎng)華,王重瑋編著, 數字信號處理與實現(xiàn),上海:上海交通大學出版社,1998。2 施陽,MATLAB語言工具箱,西安:西北工業(yè)大學出版社,1999。3吳湘淇、肖熙、郝曉莉, 信號系統(tǒng)與信號處理的軟硬件實現(xiàn),北京:電子工業(yè)出版社,2003。4張葛祥、李 娜,MATLAB仿真技術與應用,北京:清華大學出版社,2003。5 陳桂明,應用MATLAB語言處理數字信號與數字圖像,北京:科學出版社,2001。6 陳懷琛, 數字信號處

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論