2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩66頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、近年來(lái)企業(yè)和個(gè)人大量使用基于嵌入式技術(shù)的信息產(chǎn)品進(jìn)行信息處理,數(shù)據(jù)安全問(wèn)題日益突出?,F(xiàn)有一些應(yīng)用中的加密解決方式還不盡如人意,主要體現(xiàn)在成本及體積上難于滿足嵌入式系統(tǒng)解決方案的要求。通過(guò)設(shè)計(jì)、使用高級(jí)加密標(biāo)準(zhǔn)專用集成電路芯片則能較好的解決此問(wèn)題。因此,有必要針對(duì)低端信息處理系統(tǒng)對(duì)加密安全芯片的需求設(shè)計(jì)一種適用于低端數(shù)字信息處理系統(tǒng)的高級(jí)加密標(biāo)準(zhǔn)加密專用集成電路芯片。芯片要求具有低成本、小體積的特點(diǎn),能提供多種加密模式供動(dòng)態(tài)選擇使用,且接

2、口簡(jiǎn)單、方便互連。
   本文論述了高級(jí)加密標(biāo)準(zhǔn)(AES)算法(Rijndael算法)的實(shí)現(xiàn)原理。對(duì)各種具體優(yōu)化實(shí)現(xiàn)方式進(jìn)行了分析,提出了一種串行接口的AES加解密電路設(shè)計(jì)方案,該電路支持運(yùn)行期動(dòng)態(tài)配置工作模式。所設(shè)計(jì)的系統(tǒng)使用Verilog描述語(yǔ)言進(jìn)行分層描述。同時(shí),本文研究了常用數(shù)字專用集成電路設(shè)計(jì)方法及設(shè)計(jì)流程?;?5nmCMOS工藝標(biāo)準(zhǔn)單元庫(kù)實(shí)現(xiàn)了可配置工作模式的串行接口AES加解密芯片版圖設(shè)計(jì)。該芯片使用較少的硬件資

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論