2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩79頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、隨著通信測試技術(shù)的發(fā)展,對測試儀器也提出了更高的要求。要求測試儀器軟件化、智能化。而且由于通信技術(shù)的迅速發(fā)展,通信測試儀器的價格比較昂貴,所以要求儀器開發(fā)商要考慮到測試儀器的功能問題及儀器的成本問題。另外,小型化和便攜化的思想是通信測試儀器的兩個重要發(fā)展趨勢和方向。鑒于網(wǎng)絡(luò)通信監(jiān)測具有移動性,要對同一通信網(wǎng)絡(luò)不同測試點進行監(jiān)測,對于測試點的物理距離比較遠的通信網(wǎng)絡(luò),要求通信網(wǎng)絡(luò)測試設(shè)備向小型化,便攜化的兩個方向發(fā)展。手持式網(wǎng)絡(luò)測試設(shè)備主

2、要以現(xiàn)場施工以及運行維護使用為目的,不要求其測試功能的完善,但側(cè)重于實用性和方便性。智能誤碼測試儀主要基于FPGA技術(shù),并且以方便,實用,經(jīng)濟三個方面為主要特點進行設(shè)計開發(fā)的。它的核心器件是現(xiàn)場可編程邏輯陣列(FPGA),便于移植或者升級。FPGA是目前應(yīng)用比較廣泛的可編程門陣列,如今很多數(shù)字通信系統(tǒng)都是用FPGA作為系統(tǒng)的核心控制器件,不僅使系統(tǒng)的集成度大大提高而且降低了硬件設(shè)計的復(fù)雜程度。所以,采用FPGA作為智能誤碼儀的核心控制器

3、件是比較合適的選擇。
  本論文在分析了誤碼儀工作原理的基礎(chǔ)上,采用FPGA、處理器等構(gòu)建硬件平臺,完成誤碼儀的功能。FPGA實現(xiàn)偽隨機序列的收發(fā)和誤碼統(tǒng)計。ARM9處理器構(gòu)建嵌入式系統(tǒng),完成誤碼數(shù)到誤碼率的計算工作,提供測試顯示屏和觸摸屏等人機接口。嵌入式系統(tǒng)的加入將有效、高效的組織和管理各種資源。同時硬件上擴展了以太網(wǎng)接口,配合軟件實現(xiàn)LXI總線。誤碼測試技術(shù)、嵌入式系統(tǒng)和LXI總線技術(shù)的結(jié)合,大大的提升了設(shè)備的網(wǎng)絡(luò)和通信的靈

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論