版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡介
1、<p> FPGA控制的數(shù)字電壓表電路設(shè)計(jì)</p><p><b> 李培</b></p><p> ?。ê幽峡萍即髮W(xué)電子信息工程學(xué)院 河南洛陽 471003)</p><p> 摘 要:介紹數(shù)字電壓表的組成及工作原理,論述了基于VHDL語言和FPGA芯片的數(shù)字系統(tǒng)的設(shè)計(jì)思想和實(shí)現(xiàn)過程。 關(guān)鍵詞:數(shù)字電壓表;VHDL語言;F
2、PGA</p><p> VHDL Realization of Digital Voltmeter</p><p> Abstract: The composition and working principle of digital voltm eter were introduced in this paper, the designing idea and implementa
3、tion proces s based on VHDL and FPGA were also described. Key words: digital voltmeter; VHDL; FPGA</p><p><b> 引言 </b></p><p> 在硬件電子電路設(shè)計(jì)領(lǐng)域中,電子設(shè)計(jì)自動(dòng)化(EDA)工具已成為主要的設(shè)計(jì)手段,而VHDL語言則是EDA的關(guān)
4、鍵技術(shù)之一,它采用自頂向下的設(shè)計(jì)方法,即從系統(tǒng)總體要求出發(fā),自上至下地將設(shè)計(jì)任務(wù)分解為不同的功能模塊,最后將各功能模塊連接形成頂層模塊,完成系統(tǒng)硬件的整體設(shè)計(jì)。本文用FPGA芯片和VHDL語言設(shè)計(jì)了一個(gè)數(shù)字電壓表,舉例說明了利用VHDL語言實(shí)現(xiàn)數(shù)字系統(tǒng)的過程。 </p><p> 1.系統(tǒng)組成及工作原理 整個(gè)數(shù)字電壓表的硬件結(jié)構(gòu)如圖1所示。 </p><p> 系統(tǒng)
5、的核心電路由FPGA完成,本設(shè)計(jì)選用了Altera公司的EPlKl00QC208-3芯片,用VHDL語言對它進(jìn)行設(shè)計(jì),實(shí)現(xiàn)三大功能模塊:(1)控制模塊,激活A(yù)/D轉(zhuǎn)換器動(dòng)作、接收A/D轉(zhuǎn)換器傳遞過來的數(shù)字轉(zhuǎn)換值;(2)數(shù)據(jù)處理模塊,將接收到的轉(zhuǎn)換值調(diào)整成對應(yīng)的數(shù)字信號;(3)掃描、顯示模塊,產(chǎn)生數(shù)碼管的片選信號,并將數(shù)值處理模塊輸出的BCD碼譯成相應(yīng)的7段數(shù)碼驅(qū)動(dòng)值?! 」ぷ鲿r(shí),系統(tǒng)按一定的速率采集輸入的模擬電壓,經(jīng)ADC0804轉(zhuǎn)換
6、為8位數(shù)字量,此8位數(shù)字量經(jīng)FPGA處理得到模擬電壓的數(shù)字碼,再輸入數(shù)碼管獲得被測電壓的數(shù)字顯示?! 〈穗妷罕淼臏y量范圍:0~5V,三位數(shù)碼管顯示。 </p><p> 2.FPGA功能模塊的設(shè)計(jì) 數(shù)字電壓表的三大模塊都是用VHDL語言編程實(shí)現(xiàn)的。2.1控制模塊 用狀態(tài)機(jī)作法,產(chǎn)生ADC0804的片選信號、讀/寫控制信號,通過狀態(tài)信號INTR判斷轉(zhuǎn)換是否結(jié)束;轉(zhuǎn)換結(jié)束后將轉(zhuǎn)換數(shù)據(jù)鎖存并輸出。其狀態(tài)
7、轉(zhuǎn)換圖如圖2所示。</p><p><b> </b></p><p> State machine viewer</p><p><b> A/D模塊如下:</b></p><p> 2.2數(shù)據(jù)處理模塊 ADC0804是8位模數(shù)轉(zhuǎn)換器,它的輸出狀態(tài)共有28=256種,如果輸入信號V
8、in為0~5V電壓范圍,則每兩個(gè)狀態(tài)值為5/(256-1),約為0.0196V,故測量分辨率為0.02V。常用測量方法是:當(dāng)讀取到DB7~DB0轉(zhuǎn)換值是XXH時(shí),電壓測量值為U≈XXH×0.02V;考慮到直接使用乘法計(jì)算對應(yīng)的電壓值將耗用大量的FPGA內(nèi)部組件,本設(shè)計(jì)用查表命令來得到正確的電壓值?! ≡谧x取到ADC0804的轉(zhuǎn)換數(shù)據(jù)后,先用查表指令算出高、低4位的兩個(gè)電壓值,并分別用12位BCD碼表示;接著設(shè)計(jì)12位的BCD
9、碼加法,如果每4位相加結(jié)果超過9需進(jìn)行加6調(diào)整。這樣得到模擬電壓的BCD碼?!?lt;/p><p><b> CBD模塊如下:</b></p><p> 本模塊的功能仿真結(jié)果如圖3所示;當(dāng)轉(zhuǎn)換數(shù)據(jù)為00010101,通過查表高4位0001是0.32V,而低4位0101是0.1V,最后的電壓輸出結(jié)果是0.32V+0.1V=0.42V,它的BCD碼表示為00000100
10、0010,仿真結(jié)果正確。2.3掃描、顯示模塊 如圖4所示,CLK是掃描時(shí)鐘,其頻率為1kHz,由給定的40MHz時(shí)鐘分頻得到;DATAIN是數(shù)據(jù)處理模塊輸出的電壓值的BCD碼;SEL是數(shù)碼管的片選信號;POINT是數(shù)碼管小數(shù)點(diǎn)驅(qū)動(dòng);通過掃描分別輸出3位電壓值的BCD碼DATAOUT,并通過DISP將BCD碼譯成相應(yīng)的7段數(shù)碼驅(qū)動(dòng)值,送數(shù)碼管顯示。</p><p> 3選1 數(shù)據(jù)選擇器模塊</p>
11、;<p> 下圖是3選1 數(shù)據(jù)選擇器模塊,由sel來選擇數(shù)據(jù)輸出,sel的三個(gè)狀態(tài)分別對應(yīng)選中三個(gè)數(shù)據(jù)A,B,C,同時(shí)將選中的數(shù)據(jù)輸出。</p><p> 2.5位選信號產(chǎn)生器(3進(jìn)制計(jì)數(shù)器)</p><p> 位選信號產(chǎn)生器,實(shí)際上時(shí)一個(gè)3進(jìn)制計(jì)數(shù)器,隨著時(shí)鐘的上升沿的到來,它始終在0,1,2之間來回的循環(huán),它的輸出作為3選1 數(shù)據(jù)選擇器模塊和小數(shù)點(diǎn)產(chǎn)生器的輸入。以下
12、是仿真和模塊。</p><p> 位選信號產(chǎn)生器的模塊:</p><p><b> 2.6 7段譯碼</b></p><p> 將輸入的數(shù)據(jù)通過譯碼電路在數(shù)碼管上顯示出來</p><p><b> 7段譯碼的模塊:</b></p><p> 2.7小數(shù)點(diǎn)產(chǎn)生器 &l
13、t;/p><p> 當(dāng)if selDP="10" then DPout<='0';</p><p> elsif selDP="01" then DPout<='0'; </p><p> elsif selDP="00" then DPout<
14、;='1';</p><p> 只有當(dāng)它等于1的時(shí)候,小數(shù)點(diǎn)才起作用,也就是說,只有當(dāng)高四位有數(shù)值的時(shí)候,必須需要小數(shù)點(diǎn)來確定數(shù)值。下面仿真波形中,黑色的部分就是小數(shù)點(diǎn)顯示的時(shí)候和部分,可以很清楚地看出,當(dāng)輸出等于3的時(shí)候,就是顯示小數(shù)點(diǎn)。</p><p><b> 小數(shù)點(diǎn)產(chǎn)生器模塊:</b></p><p> 3.頂層文
15、件的模塊如下圖:</p><p><b> 4.仿真結(jié)果</b></p><p> 在Quartus II 8.0中,仿真波形如圖所示:</p><p><b> 5.心得體會(huì)</b></p><p> 從這次的課程設(shè)計(jì)中,我受益匪淺。電壓表的設(shè)計(jì),用EDA仿真工具Quartus II 8.
16、0,用vhdl語言設(shè)計(jì),這些對于我們初學(xué)者來說,并不是件容易的事情,但是同時(shí)鞏固了我們對知識(shí)的深刻理解。為以后的FPGA設(shè)計(jì)打下了堅(jiān)實(shí)的基礎(chǔ)。所以,總的來說,過程是困難的,不容易的,結(jié)果卻是很滿意的,獲得了很寶貴的知識(shí)和經(jīng)驗(yàn)!</p><p> 6.結(jié)束語 本文設(shè)計(jì)的VHDL語言程序已在Quartus II 8.0工具軟件上進(jìn)行了編譯、仿真和調(diào)試。經(jīng)過實(shí)驗(yàn)驗(yàn)證,本設(shè)計(jì)是正確的,其電壓顯示值誤差沒有超過量化臺(tái)
17、階上限(0.02V)。本文給出的設(shè)計(jì)思想也適用于其他基于PLD芯片的系統(tǒng)設(shè)計(jì)。</p><p><b> 參考文獻(xiàn)</b></p><p> ?。?]潘松 EDA技術(shù)實(shí)用教程[M]。北京:科學(xué)出版社,2003.[2]盧毅 VHDL與數(shù)字電路設(shè)計(jì)[M]。北京:科學(xué)出版社,2001.[3]林敏 VHDL數(shù)字系統(tǒng)設(shè)計(jì)與高層次綜合[M]。北京:電子工業(yè)出版社,2001.
18、</p><p> [ 4 ] http://www.edacn.net/bbs/index.php</p><p> [5 ]齊洪喜,陸穎 VHDL電路設(shè)計(jì)實(shí)用教程[M]。 北京:清華大學(xué)出版社,2004</p><p> 數(shù)字電壓表設(shè)計(jì) VHDL程序如下:</p><p><b> A/D0809</b>&l
19、t;/p><p> LIBRARY ieee; </p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_arith.all;</p><p> use ieee.std_logic_unsigned.a
20、ll;</p><p> entity ad is </p><p> port(ST,eoc:in std_logic; --控制端口</p><p> d:in std_logic_vector(7 downto 0);</p><p> oe,sta,ale,adda:out std_l
21、ogic;</p><p> q:out std_logic_vector(7 downto 0));</p><p><b> end ad;</b></p><p> architecture a of ad is</p><p> type states is(st0,st1,st2,st3,st4,st5
22、,st6); --7個(gè)狀態(tài)</p><p> signal c_state,n_state:states :=st0;</p><p> signal regl:std_logic_vector(7 downto 0);</p><p> signal lock:std_logic;</p><p><b> begi
23、n </b></p><p> adda<='1';</p><p> com:process(c_state,eoc)</p><p><b> begin</b></p><p> case c_state is</p><p> when st0=
24、>ale<='0';sta<='0';oe<='0';lock<='0';</p><p> n_state<=st1;</p><p> when st1=>ale<='1';sta<='0';oe<='0';lo
25、ck<='0';</p><p> n_state<=st2;</p><p> when st2=>ale<='0';sta<='1';oe<='0';lock<='0';</p><p> n_state<=st3;</p&
26、gt;<p> when st3=>ale<='0';sta<='0';oe<='0';lock<='0';</p><p> if(eoc='1') then n_state<=st4;</p><p> else n_state<=st3;
27、 ――eoc為‘1’轉(zhuǎn)換結(jié)束 進(jìn)入下一狀態(tài)</p><p> end if; ――否則 繼續(xù)轉(zhuǎn)換</p><p> when st4=>ale<='0';sta<='0';oe<='1';lock<='0';</p><p>
28、n_state<=st5;</p><p> when st5=>ale<='0';sta<='0';oe<='0';lock<='1';</p><p> n_state<=st6;</p><p> when st6=>ale<='
29、0';sta<='0';oe<='0';lock<='1';</p><p> n_state<=st0;</p><p> when others=>n_state<=st0;</p><p><b> end case;</b></p&g
30、t;<p> end process com;</p><p> reg:process(st) </p><p><b> begin</b></p><p> if(st'event and st='1') then</p>&l
31、t;p> c_state<=n_state;</p><p><b> end if;</b></p><p> end process reg;</p><p> lo:process(lock) --鎖存</p><p><b>
32、begin </b></p><p> if(lock'event and lock='1') then </p><p><b> regl<=d;</b></p><p><b> end if;</b></p><p> end proce
33、ss lo;</p><p> q<=regl; </p><p><b> end a;</b></p><p><b> BCD 8位轉(zhuǎn)12</b></p><p> LIBRARY IEEE;</p><p> USE
34、IEEE.STD_LOGIC_1164.ALL;</p><p> USE IEEE.STD_LOGIC_ARITH.ALL;</p><p> USE IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p> ENTITY BCD IS</p><p> PORT (V:IN STD_LOGIC_VECTOR(7
35、DOWNTO 0);</p><p> HB,LB:BUFFER STD_LOGIC_VECTOR(11 DOWNTO 0);</p><p> BVALUE:BUFFER STD_LOGIC_VECTOR(11 DOWNTO 0);</p><p> BCD_L,BCD_M,BCD_H:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));&l
36、t;/p><p><b> END BCD;</b></p><p> ARCHITECTURE A OF BCD IS</p><p><b> BEGIN</b></p><p> P1:PROCESS(V(7 DOWNTO 4)) </p><p> BEGI
37、N --A/D輸出高4位轉(zhuǎn)換 分辨率0.32V</p><p> IF V(7 DOWNTO 4)="1111" THEN HB<="010010000000"; --4.80V</p><p> ELSIF V(7 DOWNTO 4)= "1110" THEN HB<=&q
38、uot;010001001000";--4.48V</p><p> ELSIF V(7 DOWNTO 4)= "1101" THEN HB<="010000010110";--4.16V</p><p> ELSIF V(7 DOWNTO 4)= "1100" THEN HB<="001110
39、000100";--3.84V</p><p> ELSIF V(7 DOWNTO 4)= "1011" THEN HB<="001101010010";--3.52V</p><p> ELSIF V(7 DOWNTO 4)= "1010" THEN HB<="001100100000&quo
40、t;;--3.20V</p><p> ELSIF V(7 DOWNTO 4)= "1001" THEN HB<="001010001000";--2.88V</p><p> ELSIF V(7 DOWNTO 4)= "1000" THEN HB<="001001010110";--2.56V
41、</p><p> ELSIF V(7 DOWNTO 4)= "0111" THEN HB<="001000100100";--2.24V</p><p> ELSIF V(7 DOWNTO 4)= "0110" THEN HB<="000110010010";--1.92V</p>
42、<p> ELSIF V(7 DOWNTO 4)= "0101" THEN HB<="000101100000";--1.60V</p><p> ELSIF V(7 DOWNTO 4)= "0100" THEN HB<="000100101000";--1.28V</p><p>
43、; ELSIF V(7 DOWNTO 4)= "0011" THEN HB<="000010010110";--0.96V</p><p> ELSIF V(7 DOWNTO 4)= "0010" THEN HB<="000001100100";--0.64V</p><p> ELSIF V
44、(7 DOWNTO 4)= "0001" THEN HB<="000000110010";--0.32V</p><p> ELSIF V(7 DOWNTO 4)= "0000" THEN HB<="000000000000";--0.00V</p><p> ELSE HB<="
45、;000000000000" ; --0.00V</p><p><b> END IF; </b></p><p> END PROCESS P1;</p><p> P2:PROCESS(V(3 DOWNTO 0))
46、 </p><p> BEGIN --A/D輸出低4位轉(zhuǎn)換 分辨率0.02V</p><p> IF V(3 DOWNTO 0)= "1111" THEN LB<="000000110000"; --0.30V</p><p> ELSIF V(3 DOWNTO
47、0)= "1110" THEN LB<="000000101000";--0.28V</p><p> ELSIF V(3 DOWNTO 0)= "1101" THEN LB<="000000100110";--0.26V</p><p> ELSIF V(3 DOWNTO 0)= "
48、1100" THEN LB<="000000100100";--0.24V</p><p> ELSIF V(3 DOWNTO 0)= "1011" THEN LB<="000000100010";--0.22V</p><p> ELSIF V(3 DOWNTO 0)= "1010"
49、 THEN LB<="000000100000";--0.20V</p><p> ELSIF V(3 DOWNTO 0)= "1001" THEN LB<="000000011000";--0.18V</p><p> ELSIF V(3 DOWNTO 0)= "1000" THEN LB&l
50、t;="000000010110";--0.16V</p><p> ELSIF V(3 DOWNTO 0)= "0111" THEN LB<="000000010100";--0.14V</p><p> ELSIF V(3 DOWNTO 0)= "0110" THEN LB<="0
51、00000010010";--0.12V</p><p> ELSIF V(3 DOWNTO 0)= "0101" THEN LB<="000000010000";--0.10V</p><p> ELSIF V(3 DOWNTO 0)= "0100" THEN LB<="00000000100
52、0";--0.08V</p><p> ELSIF V(3 DOWNTO 0)= "0011" THEN LB<="000000000110";--0.06V</p><p> ELSIF V(3 DOWNTO 0)= "0010" THEN LB<="000000000100";--
53、0.04V</p><p> ELSIF V(3 DOWNTO 0)= "0001" THEN LB<="000000000010";--0.02V</p><p> ELSIF V(3 DOWNTO 0)= "0000" THEN LB<="000000000000";--0.00V</
54、p><p> ELSE LB<="000000000000"; --0V </p><p><b> END IF;</b></p><p> END PROCESS P2;</p><p> BVALUE<=HB+LB;</p><p>
55、 P3:PROCESS(BVALUE)</p><p> VARIABLE JJ:STD_LOGIC_VECTOR(11 DOWNTO 0);</p><p><b> BEGIN </b></p><p> JJ:=BVALUE;</p><p> IF (JJ(3 DOWNTO 0)>"10
56、01") THEN ――如果12位結(jié)果中,低4位</p><p> JJ:=JJ+"000000000110"; ――大于9 則低4位加6</p><p><b> END IF;</b></p><p> IF(JJ(7 DOWNTO 4)>"1001")
57、THEN ――如果中間的4位大于9</p><p> JJ:=JJ+"000001100000"; ――則中4位加6</p><p><b> END IF;</b></p><p> BCD_L<=JJ(3 DOWNTO 0); </p><p> BCD_
58、M<=JJ(7 DOWNTO 4);</p><p> BCD_H<=JJ(11 DOWNTO 8);</p><p> END PROCESS P3;</p><p><b> END A;</b></p><p><b> 3選1 數(shù)據(jù)選擇器</b></p>&
59、lt;p> LIBRARY ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_arith.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity mux3_1 is
60、</p><p> port(sel:in std_logic_vector(1 downto 0);</p><p> A,B,C:in std_logic_vector(3 downto 0);</p><p> Mselout:out std_logic_vector(3 downto 0));</p><p> end mux
61、3_1;</p><p> architecture a of mux3_1 is</p><p><b> begin </b></p><p> process(sel)</p><p><b> begin</b></p><p> if sel=
62、"10" then Mselout<=A; </p><p> elsif sel="01" then Mselout<=B; </p><p> elsif sel="00" then Mselout<=C; </p><p> else null;</p&
63、gt;<p><b> end if;</b></p><p> end process;</p><p><b> end a;</b></p><p> 位選信號產(chǎn)生器(3進(jìn)制計(jì)數(shù)器)</p><p> library ieee;</p><p>
64、 use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity c3 is </p><p> port(clk,clr:in std_logic;</p><p> qout:buffer std_logic_vecto
65、r(1 downto 0)</p><p><b> );</b></p><p><b> end c3;</b></p><p> architecture behave of c3 is</p><p><b> begin </b></p><
66、;p> process(clk,clr)</p><p><b> begin</b></p><p> if(clr='0')then qout<="00";</p><p> elsif(clk'event and clk='1')then </p>
67、<p> qout<=qout+1;</p><p> if(qout=2)then qout<="00";</p><p><b> end if;</b></p><p><b> end if;</b></p><p> end proc
68、ess;</p><p> end behave;</p><p><b> 7段譯碼</b></p><p> LIBRARY ieee;</p><p> USE ieee.std_logic_1164.all;</p><p> USE ieee.std_logic_unsigne
69、d.all;</p><p> ENTITY del7 IS</p><p><b> PORT</b></p><p> ( input : IN STD_LOGIC_vector(3 downto 0);</p><p> output : OUT STD_LOGIC_vector(6 downto 0
70、)</p><p><b> );</b></p><p><b> END del7;</b></p><p> ARCHITECTURE a OF del7 IS </p><p><b> BEGIN</b></p><p> PROC
71、ESS (input)</p><p><b> BEGIN</b></p><p> CASE input IS</p><p> WHEN "0000" =>output<="1111110";</p><p> WHEN "0001"
72、=>output<="0110000";</p><p> WHEN "0010" =>output<="1101101";</p><p> WHEN "0011" =>output<="1111001";</p><p>
73、 WHEN "0100" =>output<="0110011";</p><p> WHEN "0101" =>output<="1011011";</p><p> WHEN "0110" =>output<="1011111"
74、;</p><p> WHEN "0111" =>output<="1110000";</p><p> WHEN "1000" =>output<="1111111";</p><p> WHEN "1001" =>output&
75、lt;="1111011";</p><p> WHEN OTHERS=>NULL;</p><p><b> END CASE;</b></p><p> end process; </p><p><b> END a;</b></p><
76、;p><b> 小數(shù)點(diǎn)產(chǎn)生器 </b></p><p> LIBRARY ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_arith.all;</p><p> use ieee.std_logic_unsig
77、ned.all;</p><p> entity DP is</p><p> port(SELDP:in std_logic_vector(1 downto 0);</p><p> DPout:out std_logic);</p><p><b> end DP;</b></p><p&
78、gt; architecture a of DP is</p><p><b> begin </b></p><p> process(selDP)</p><p><b> begin</b></p><p> if selDP="10" then DP
79、out<='0';</p><p> elsif selDP="01" then DPout<='0'; </p><p> elsif selDP="00" then DPout<='1'; ――在高4位整數(shù)輸出時(shí),輸出</p><p> e
80、lse null; ――小數(shù)點(diǎn)DP</p><p><b> end if;</b></p><p> end process;</p><p><b> end a;</b></p><p><b> 頂層文件</b>
81、;</p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> use ieee.std_logic_arith.all;</p><p> en
82、tity V_WATCH is </p><p> port( clkK,EOCC:in std_logic; </p><p> DD:IN std_logic_vector(7 downto 0); </p><p> clk3,CLR3:IN STD_LOGIC; &
83、lt;/p><p> OEE,START,ALEE,ADDAA:OUT STD_LOGIC;</p><p> DPOUT:OUT STD_LOGIC;</p><p> Qoutput:out std_logic_vector(6 downto 0); </p><p> Qselout:out std_logic_vecto
84、r(1 downto 0));</p><p> end V_WATCH;</p><p> architecture a of V_WATCH is ――元件例化</p><p> COMPONENT DP</p><p> port(SELDP:in std_logic_vector(1 downto 0);</p&g
85、t;<p> DPout:out std_logic);</p><p> END COMPONENT;</p><p> COMPONENT del7</p><p> PORT(input : IN STD_LOGIC_vector(3 downto 0);</p><p> output : OUT STD
86、_LOGIC_vector(6 downto 0));</p><p> END COMPONENT;</p><p> COMPONENT mux3_1</p><p> port(sel:in std_logic_vector(1 downto 0);</p><p> A,B,C:in std_logic_vector(3 do
87、wnto 0);</p><p> Mselout:out std_logic_vector(3 downto 0));</p><p> END COMPONENT;</p><p> COMPONENT ad</p><p> port(st,eoc:in std_logic;</p><p> d:in
88、 std_logic_vector(7 downto 0);</p><p> oe,sta,ale,adda:out std_logic;</p><p> q:out std_logic_vector(7 downto 0));</p><p> END COMPONENT;</p><p> COMPONENT C3</p
89、><p><b> PORT</b></p><p> (clk,clr: IN STD_LOGIC;</p><p> qout: OUT std_logic_vector(1 downto 0));</p><p> END COMPONENT;</p><p> COMPONENT B
90、CD</p><p> PORT (V:IN STD_LOGIC_VECTOR(7 DOWNTO 0);</p><p> HB,LB:BUFFER STD_LOGIC_VECTOR(11 DOWNTO 0);</p><p> BVALUE:BUFFER STD_LOGIC_VECTOR(11 DOWNTO 0);</p><p>
91、 BCD_L,BCD_M,BCD_H:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));</p><p> END COMPONENT;</p><p> signal a: std_logic_vector(1 downto 0);</p><p> SIGNAL SBCD_L,SBCD_M,SBCD_H:
92、STD_LOGIC_VECTOR(3 DOWNTO 0);</p><p> SIGNAL b: STD_LOGIC_VECTOR(3 DOWNTO 0);</p><p> SIGNAL q: STD_LOGIC_VECTOR(7 DOWNTO 0);</p><p> SIGNAL BBCD:
93、 STD_LOGIC_VECTOR(11 DOWNTO 0); </p><p><b> BEGIN</b></p><p> U1:AD PORT MAP(CLKK,EOCC,DD,OEE,START,ALEE,ADDAA, q);</p><p> U2:BCD PORT MAP(q,BCD_
94、L=>SBCD_L,BCD_M=>SBCD_M,BCD_H=>SBCD_H);</p><p> U3:C3 PORT MAP(CLK3,CLR3,a);</p><p> U4:MUX3_1 PORT MAP(SELOUT,SBCD_L,SBCD_M,SBCD_H,DI);</p><p> U5:DEL7 PORT M
95、AP(b, Qoutput);</p><p> U6:DP PORT MAP(a,DPOUT);</p><p> QSELOUT<=a; </p><p><b> end a;</b></p><p> 附件1: 大學(xué)本科畢業(yè)論文(設(shè)計(jì))工作程序</p><p>
96、; 注:1.提前或推延進(jìn)行畢業(yè)論文(設(shè)計(jì))的,各階段要求相同,日程自定;</p><p> 2.畢業(yè)論文(設(shè)計(jì))工作三個(gè)階段時(shí)間安排,可根據(jù)各專業(yè)特點(diǎn)適當(dāng)調(diào)整。 </p><p> 附件2: 大學(xué)本科畢業(yè)論文(設(shè)計(jì))撰寫規(guī)范</p><p> 一、畢業(yè)論文(設(shè)計(jì))文本結(jié)構(gòu)&
97、lt;/p><p> 畢業(yè)論文(設(shè)計(jì))主要由8個(gè)部分組成:①封面;②目錄;③題目;④中外文摘要;⑤正文;⑥參考文獻(xiàn);⑦謝辭;⑧附錄。</p><p> 二、畢業(yè)論文(設(shè)計(jì))各部分規(guī)范</p><p><b> 1. 封面</b></p><p> 封面按學(xué)校規(guī)定的格式填寫,包括論文(設(shè)計(jì))題目、作者姓名、指導(dǎo)教師姓名
98、、學(xué)科專業(yè)等內(nèi)容。</p><p><b> 2. 目錄</b></p><p> 目錄由畢業(yè)論文(設(shè)計(jì))各部分內(nèi)容的順序號、名稱和頁碼組成,目次中的內(nèi)容一般列出二級標(biāo)題即可。目錄應(yīng)該用“…………”連接名稱與頁碼。</p><p><b> 3. 題目</b></p><p> 論文(設(shè)計(jì))
99、題目要恰當(dāng)、簡明、凝練,能夠反映論文的主題及其內(nèi)容,做到文、題貼切。題目中不使用非規(guī)范的縮略詞、符號、代號和公式,通常不采用問話的方式。題目所使用的詞語應(yīng)當(dāng)考慮到有助于選擇關(guān)鍵詞和編制題錄、索引等。</p><p> 題目的中文字?jǐn)?shù)一般不超過20個(gè)字,外文題目不超過10個(gè)實(shí)詞,中外文標(biāo)題應(yīng)一致,居中編排格式。</p><p> 4. 中外文摘要及關(guān)鍵詞 摘要是對畢業(yè)論文(設(shè)計(jì))內(nèi)容
100、不加注釋和評論的簡短陳述。摘要主要是說明研究工作的目的、方法、結(jié)果和結(jié)論。摘要應(yīng)具有獨(dú)立性和自含性,即不閱讀全文,就能獲得畢業(yè)論文(設(shè)計(jì))必要的信息,使讀者確定有無必要閱讀全文。摘要中應(yīng)用第三人稱的方法記述論文的性質(zhì)和主題,不使用“本文”、“作者”等作為主語,應(yīng)采用“對…進(jìn)行了研究”、“報(bào)告了…現(xiàn)狀”、“進(jìn)行了…調(diào)查”等表達(dá)方式。排除在本學(xué)科領(lǐng)域已成為常識(shí)的內(nèi)容,不得重復(fù)題目中已有的信息。語句要合乎邏輯關(guān)系,盡量同正文的文體保持一致。結(jié)
101、構(gòu)要嚴(yán)謹(jǐn),表達(dá)要簡明,語義要確切,一般不再分段落。對某些縮略語、簡稱、代號等,除了相鄰專業(yè)的讀者也能清楚理解的以外,在首次出現(xiàn)處必須加以說明。摘要中通常不用圖表、化學(xué)結(jié)構(gòu)式以及非公知公用的符號和術(shù)語。</p><p> 畢業(yè)論文(設(shè)計(jì))的摘要包含中文摘要和外文摘要。中文摘要字?jǐn)?shù)約為200~300字,外文摘要約為200~300個(gè)實(shí)詞。</p><p> 關(guān)鍵詞(Keywords)是為了文
102、獻(xiàn)標(biāo)引,從《漢語主題詞表》或論文中選取出來,用以表示全文主題內(nèi)容信息的詞語或術(shù)語。關(guān)鍵詞不宜用非通用的代號和分子式。</p><p> 關(guān)鍵詞一般為3~6個(gè)。關(guān)鍵詞的排序,通常應(yīng)按研究的對象、性質(zhì)(問題)和采取的手段排序。中文關(guān)鍵詞兩詞之間應(yīng)留出一個(gè)漢字的空間,不加任何標(biāo)點(diǎn)符號;外文關(guān)鍵詞之間用分號隔開。</p><p><b> 5. 正文</b></p&
103、gt;<p> 畢業(yè)論文(設(shè)計(jì))的正文包括前言(引言)、正文、結(jié)論三個(gè)部分。外語類專業(yè)畢業(yè)生必須用所學(xué)專業(yè)外語撰寫。</p><p> 畢業(yè)論文(設(shè)計(jì))的篇幅一般6000字左右。</p><p><b> (1)前言(引言)</b></p><p> 前言(引言)主要說明研究工作的目的、范圍,對前人的研究狀況進(jìn)行評述分析,
104、闡明研究設(shè)想、研究方法、實(shí)驗(yàn)設(shè)計(jì)、預(yù)期結(jié)果、成果的意義等。</p><p><b> (2)正文</b></p><p> 正文是對研究工作與研究內(nèi)容的詳細(xì)表述,一般由標(biāo)題、文字、表格或公式等部分組成。該部分要運(yùn)用選定的研究方法分析問題、論證觀點(diǎn),盡量反映出研究能力和學(xué)術(shù)水平。正文是畢業(yè)論文(設(shè)計(jì))的核心部分,占據(jù)主要篇幅。</p><p>
105、; 正文是論文的主體,要求觀點(diǎn)清晰、論點(diǎn)正確、論據(jù)可靠、論證嚴(yán)密、層次清楚。</p><p> 正文中的圖表和計(jì)量單位要規(guī)范。圖須有序號、圖題、圖例、量和單位,圖序號須用阿拉伯?dāng)?shù)字,與圖題空 1 格,寫在圖下方;表格采用 3線表,表頭線和表尾線為粗黑線,表兩邊不要串寫文字,表序號須用阿拉伯?dāng)?shù)字,與標(biāo)題空 1格,寫在表上方;一律使用法定計(jì)量單位。</p><p><b> (
106、3)結(jié)論</b></p><p> 結(jié)論是對整個(gè)研究工作進(jìn)行歸納和總結(jié)。結(jié)論應(yīng)當(dāng)準(zhǔn)確、完整、明確、精練。如不可能導(dǎo)出應(yīng)有的結(jié)論,也可以沒有結(jié)論而進(jìn)行必要的討論,闡述本課題研究中存在的問題及進(jìn)一步開展研究的建議。</p><p><b> 6. 謝辭(致謝)</b></p><p> 謝辭(致謝)是對給予各類資助、指導(dǎo)和協(xié)助完成
107、科研工作,以及提供各種條件的單位和個(gè)人表示的感謝。謝辭應(yīng)實(shí)事求是。</p><p><b> 7. 參考文獻(xiàn)</b></p><p> 文后參考文獻(xiàn),是論文的重要組成部分,按順序和規(guī)定的格式列在正文之后。所列出的文獻(xiàn),應(yīng)當(dāng)是作者親自閱讀或引用過的,出處要翔實(shí),要進(jìn)行核實(shí)查對。所引用的文獻(xiàn)應(yīng)是公開出版的刊物或著作,內(nèi)部刊物一般不引用。</p><
108、p> 正文中參考文獻(xiàn)的標(biāo)注方法,是在引用文字(即所引用的詞組、句子、段落等)結(jié)束處的右上角標(biāo)出參考文獻(xiàn)序號。全文參考文獻(xiàn)的序號要按照從小到大的次序排列,某一文獻(xiàn)多次引用時(shí),要用同一序號標(biāo)出。</p><p> 文后參考文獻(xiàn)的編寫方式,是依正文中參考文獻(xiàn)序號的次序排列所有的參考文獻(xiàn),且一個(gè)參考文獻(xiàn)只能出現(xiàn)一次。</p><p><b> 8. 附錄</b>&
109、lt;/p><p> 凡不宜收入正文中而又有價(jià)值的內(nèi)容,可編入畢業(yè)論文的附錄中。附錄內(nèi)容主要包括:①正文中所使用公式的推導(dǎo)與證明過程;②使用的主要符號、單位、縮寫、程序全文及其說明等;③在正文中無法列出的實(shí)驗(yàn)數(shù)據(jù);④重復(fù)性數(shù)據(jù)圖表;⑤調(diào)查問卷等。</p><p> (頂頭空2行)目 錄(4號黑體,居中)</p><p> 摘要………………………………………………
110、……………………………………1</p><p> 關(guān)鍵詞…………………………………………………………………………………1</p><p> Abstract………………………………………………………………………………1</p><p> Key words……………………………………………………………………………1</p><p>
111、引言(或緒論)………………………………………………………………………1</p><p> 1□材料與方法………………………………………………………………………Y</p><p> 1.1□材料 ……………………………………………………………………………Y</p><p> 1.2□方法 ……………………………………………………………………………Y</p&
112、gt;<p> 1.2.1□×××××…………………………………………………………………Y</p><p> 1.2.2□×××××…………………………………………………………………Y</p><p> 1.2.3□××××
113、5;…………………………………………………………………Y</p><p> 1.2.4□×××××…………………………………………………………………Y</p><p> 2□××………………………………………………………………………………Y</p><p> 2.1□××
114、×××……………………………………………………………………Y</p><p> 3□×××…………………………………………………………………………… Y</p><p> ……………………………………………………………(略)</p><p> X ××××
115、215;(正文第X章)…………………………………………………………Y</p><p> 致謝……………………………………………………………………………………Y</p><p> 參考文獻(xiàn)………………………………………………………………………………Y</p><p> 附錄A ××××(必要時(shí))…………………………………
116、……………………Y</p><p> 附錄B ××××(必要時(shí))………………………………………………………Y</p><p> 圖1 ××××(必要時(shí))…………………………………………………………Y</p><p> 圖2 ××××(必
117、要時(shí))…………………………………………………………Y</p><p> 表1 ××××(必要時(shí))……………………………………………………………Y</p><p> 表2 ××××(必要時(shí))……………………………………………………………Y</p><p> 注:1. 目次中的內(nèi)容一般
118、列出“章”、“節(jié)”、“條”三級標(biāo)題即可;</p><p> 2.X、Y表示具體的阿拉伯?dāng)?shù)字;</p><p> 畢業(yè)論文(設(shè)計(jì))題目(3號黑體)</p><p> ××××專業(yè)學(xué)生 學(xué)生姓名</p><p> 指導(dǎo)教師 指導(dǎo)教師姓名(小四仿宋體)</p><p&g
119、t; 摘要:××××××××××××××××××(200—300字,五號宋體)×××××××××××××××
120、××……</p><p> 關(guān)鍵詞:××× ×××× ××××× ×××(3-5個(gè),五號宋體)</p><p> Title(3號Times New Romar)</p><p> St
121、udent majoring in ×××× Name</p><p> Tutor Name(小四Times New Romar)</p><p> Abstract: ××××××(五號Times New Romar,200—300個(gè)實(shí)詞)××
122、15;×××××××××××××××××××……</p><p> Key words: ×××;××××;××××
123、;×;×××(3-5實(shí)詞個(gè),五號Times New Romar)</p><p> 引言×××××(小四宋體)××××××××××××××××××
124、;××××××××××××××××××××××××××××××××××××
125、15;×××××××××××××××××……。</p><p> 1 材料與方法 (仿宋體四號)</p><p> 1.1 ×××××××
126、15;××(黑體小四號)</p><p> ××××××(小四宋體)××××××××××××××××××××××&
127、#215;×××××××××××××××××××××。</p><p> 1.1.1 ××(仿宋體小四號)×××× ×
128、5;××××××××××××××××××××××××(小四宋體)×××××××××××
129、215;××××××××××××××××××××××××。</p><p> 1.1.2 ××(仿宋體小四號)×××
130、15; ××××××××××××××××××××××××××(小四宋體)××××××××
131、15;×××××××××××××××××××××××××××。</p><p> 1.2 ××××
132、215;×××××(黑體小四號)……</p><p> 2 結(jié)果與分析 (仿宋體四號)</p><p> 2.1 ××××××××××(黑體小四號)</p><p> ×××
133、215;××(小四宋體)×××××××××××××××××××××××××××××××××
134、×××××××××××。</p><p> 2.1.1 ××(仿宋體小四號)×××× ××××××××××××
135、15;×××××××××××××(小四宋體)××××××××××××××××××××××&
136、#215;×××××××××××××。</p><p> 2.1.2 ××(仿宋體小四號)×××× ×××××××××
137、5;××××××××××××××××(小四宋體)×××××××××××××××××××
138、215;××××××××××××××××。</p><p> 2.2 ××××××××××(黑體小四號)……</p><p>
139、 3 討論 (仿宋體四號)</p><p> 3.1 ××××××××××(黑體小四號) </p><p> ××××××(小四宋體)×××××××
140、15;××××××××××××××××××××××××××××××××××××。
141、</p><p> 3.2 ××××××××××(黑體小四號)……</p><p><b> 致謝</b></p><p> ××××××(小四宋體)××
142、215;××××××××××××××××……</p><p><b> 參考文獻(xiàn):</b></p><p> [1] 作者姓名,作者姓名.參考文獻(xiàn)題目[J]. 期刊或雜志等名稱,年份,卷(期數(shù)):頁碼.&l
143、t;/p><p> [2] 劉凡豐. 美國研究型大學(xué)本科教育改革透視[J] . 高等教育研究,2003,5(1):18-19.</p><p> 沒有卷的就直接寫2003(1)(本條為期刊雜志著錄格式)</p><p> [3] 譚丙煜.怎樣撰寫科學(xué)論文[M].2版.沈陽:遼寧人民出版社,1982:5-6.(本條為中文圖書著錄格式)</p><
144、p> [4] 作者姓名. 參考文獻(xiàn)題目[D].南京:南京農(nóng)業(yè)大學(xué),2002:頁碼.(本條為碩士、博士論文著錄格式)</p><p> [5] 作者姓名. 參考文獻(xiàn)題目[N].人民日報(bào),2005-06-12.(本條為報(bào)紙著錄格式)</p><p> [6] 作者姓名. 參考文獻(xiàn)題目[C]// 作者姓名.論文集名稱.城市:出版單位(社),年代:頁碼.(本條為論文集著錄格式)<
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 基于fpga的數(shù)字電壓表設(shè)計(jì)
- 基于FPGA的數(shù)字電壓表的設(shè)計(jì).pdf
- 電壓表以及計(jì)數(shù)器系統(tǒng)畢業(yè)設(shè)計(jì)說明書
- 基于fpga的數(shù)字電壓表的設(shè)計(jì)與實(shí)現(xiàn)(design and implementation of digital voltage meter based on fpga)
- 電壓表.pdf
- 電壓表.docx
- 電壓表.pdf
- 數(shù)字電壓表
- 電壓表.docx
- 數(shù)字電壓表畢業(yè)論文--基于51單片機(jī)的數(shù)字電壓表設(shè)計(jì)
- 數(shù)字電壓表課程設(shè)計(jì)--基于單片機(jī)的簡易數(shù)字電壓表的設(shè)計(jì)
- 數(shù)字電壓表課程設(shè)計(jì)--簡易數(shù)字電壓表的設(shè)計(jì)
- 數(shù)字電壓表的設(shè)計(jì)【任務(wù)書】
- 數(shù)字電壓表課程設(shè)計(jì)--基于單片機(jī)的簡易數(shù)字電壓表的設(shè)計(jì)
- 電壓和電壓表的使用教案
- [學(xué)習(xí)]電壓和電壓表的使用
- 畢業(yè)設(shè)計(jì)--基于stc89c52量程自動(dòng)轉(zhuǎn)換電壓表設(shè)計(jì)說明書
- 數(shù)字電壓表基于單片機(jī)
- 電壓和電壓表專題習(xí)題
- 基于lpc2131的數(shù)字電壓表
評論
0/150
提交評論