eda課程設計---數(shù)字頻率計_第1頁
已閱讀1頁,還剩23頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

1、<p>  課 程 設 計</p><p>  2011年 3 月11日</p><p><b>  課程設計任務書</b></p><p>  課程 EDA技術課程設計</p><p><b>  題目 數(shù)字頻率計</b></p><p>  專業(yè)

2、 姓名 學號</p><p>  主要內(nèi)容、基本要求、主要參考資料等</p><p><b>  主要內(nèi)容:</b></p><p>  數(shù)字頻率計是直接用十進制數(shù)字來顯示被測信號頻率的一種測量裝置。它在測量其他物理量如轉速、振動頻率等方面獲得廣泛應用。本課程設計主要是完成一個頻率范圍是0999

3、999Hz的能測量方波信號的頻率的頻率計的設計。</p><p><b>  基本要求:</b></p><p>  1、設計一個能測量方波信號的頻率的頻率計。</p><p>  2、測量的頻率范圍是0999999Hz。</p><p>  3、結果用十進制數(shù)顯示。</p><p><b&

4、gt;  主要參考資料:</b></p><p>  [1] 潘松著.EDA技術實用教程(第二版). 北京:科學出版社,2005.</p><p>  [2] 康華光主編.電子技術基礎 模擬部分. 北京:高教出版社,2006.</p><p>  [3] 閻石主編.數(shù)字電子技術基礎. 北京:高教出版社,2003.</p><p>

5、  完成期限 2011.3.11 </p><p>  指導教師 </p><p>  專業(yè)負責人 </p><p>  2011年 3月7日</p><p><b>  一、總體設計思想</b></p><p

6、><b>  1.基本原理</b></p><p>  所謂頻率,就是周期性信號在單位時間(1s)里變化的次數(shù)。本頻率計設計測量頻率的基本原理是,首先讓被測信號與標準信號一起通過一個閘門,然后用計數(shù)器計數(shù)信號脈沖的個數(shù),把標準時間內(nèi)的計數(shù)的結果,用鎖存器鎖存起來,最后用顯示譯碼器,把鎖存的結果用LED數(shù)碼顯示管顯示出來。</p><p>  根據(jù)數(shù)字頻率計的基本

7、原理,本文設計方案的基本思想是分為五個模塊來實現(xiàn)其功能,即整個數(shù)字頻率計系統(tǒng)分為分頻模塊、控制模塊、計數(shù)模塊、譯碼模塊和量程自動切換模塊等幾個單元,并且分別用VHDL對其進行編程,實現(xiàn)了閘門控制信號、計數(shù)電路、鎖存電路、顯示電路等。 </p><p>  本頻率計設計還可以測量周期性信號,其基本原理與測量頻率的基本原理基本一樣,首先讓被測信號與標準信號一起通過一個閘門,然后用計數(shù)器計數(shù)信號脈沖的個數(shù),把被測信號一

8、個周期內(nèi)標準基準信號的脈沖計數(shù)的結果,用鎖存器鎖存起來,最后用顯示譯碼器,把鎖存的結果用LED數(shù)碼顯示管顯示出來,顯示管的讀數(shù)就是被測信號以標準信號的周期為單位乘積的周期。</p><p><b>  2.設計框圖 </b></p><p>  2.1數(shù)字頻率計的基本設計原理</p><p>  二、設計步驟和調試過程</p>

9、<p><b>  1、總體設計電路</b></p><p>  2、模塊設計和相應模塊程序及仿真</p><p>  2.1 4位十進制計數(shù)器模塊</p><p>  4位十進制計數(shù)器模塊包含4個級聯(lián)十進制計數(shù)器,用來對施加到時鐘脈沖輸入端的待測信號產(chǎn)生的脈沖進行計數(shù),十進制計數(shù)器具有集束使能、清零控制和進位擴展輸出的功能。使能信

10、號和清零信號由閘門控制模塊的控制信號發(fā)生器所產(chǎn)生來對4個級聯(lián)十進制計數(shù)器周期性的計數(shù)進行控制。</p><p>  (1)十進制計數(shù)器元件的設計</p><p>  在源程序中COUT是計數(shù)器進位輸出;CQ[3..0]是計數(shù)器的狀態(tài)輸出;CLK是始終輸入端;RST是復位控制輸入端,當RST=1時,CQ[3..0]=0;EN是使能控制輸入端,當EN=1時,計數(shù)器計數(shù),當EN=0時,計數(shù)器保持

11、狀態(tài)不變。編譯成功后進行仿真,其仿真波形如下:</p><p><b>  圖3</b></p><p>  在項目編譯仿真成功后,將設計的十進制計數(shù)器電路設置成可調用的元件jishu10.sym,用于以下的頂層設計。</p><p><b>  圖4</b></p><p>  (2)4位十進制計

12、數(shù)器的頂層設計</p><p>  新建一個原理圖編輯窗,從當前的工程目錄中凋出4個十進制計數(shù)器元件jishu10.sym,并按如圖所示的4位十進制計數(shù)器的頂層原理圖完成電路連接。</p><p><b>  圖5</b></p><p>  完成4位十進制計數(shù)器的原理圖編輯以后,即可進行仿真測試和波形分析,其仿真輸出波形如圖所示,當RST=0

13、、EN=1是其計數(shù)值在0到9999之間循環(huán)變化,COUT為計數(shù)進位輸出信號,作為后面的量程自動切換模塊的輸入脈沖。</p><p><b>  圖6</b></p><p>  因此仿真結果正確無誤,可將以上設計的4位十進制計數(shù)器設置成可調用的元件jishu10_4.sym,以備高層設計中使用,其元件符號圖如下圖所示。</p><p><

14、b>  圖7</b></p><p>  2.2 控制模塊設計</p><p><b>  1)閘門信號的設計</b></p><p>  頻率計電路工作時先要產(chǎn)生一個計數(shù)允許信號(即閘門信號),閘門信號的寬度為單位時間,如1S。在閘門信號有效時間內(nèi),對被測信號計數(shù),即為信號的頻率。該頻率計電路的精度取決于閘門信號T。<

15、/p><p>  本設計中選取的基準信號頻率為750khz,為了得到1s高電平的周期性閘門信號,本設計采用對頻率為750khz基準信號先進行75分頻,再進行3個10分頻,最后進行11分頻,再用非門對分頻出的信號進行取非變換,這樣得到的門閘信號高電平為1秒鐘。</p><p> ?。?)75進制計數(shù)器的程序如下:</p><p>  library ieee;</p

16、><p>  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_unsigned.all;</p><p>  entity jishu75 is</p><p>  port(clk,rst,en:in std_logic;</p><p>  cq:ou

17、t std_logic_vector(7 downto 0);</p><p>  cout:out std_logic);</p><p>  end jishu75;</p><p>  architecture behav of jishu75 is</p><p><b>  begin</b></p>

18、;<p>  process(clk,rst,en)</p><p>  variable cqi:std_logic_vector(7 downto 0);</p><p><b>  begin</b></p><p>  if rst='1' then cqi:=(others=>'0'

19、);</p><p>  elsif clk'event and clk='1' then</p><p>  if en='1' then</p><p>  if cqi<74 then cqi:=cqi+1;</p><p>  else cqi:=(others=>'0

20、9;);</p><p><b>  end if;</b></p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  if cqi=74 then cout<='1';</p>

21、<p>  else cout<='0';</p><p><b>  end if;</b></p><p><b>  cq<=cqi;</b></p><p>  end process;</p><p>  end behav;</p>

22、<p>  編譯成功后生成元件圖如下:</p><p><b>  圖8</b></p><p> ?。?)11進制計數(shù)器的程序如下:</p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  us

23、e ieee.std_logic_unsigned.all;</p><p>  entity jishu11 is</p><p>  port(clk,rst,en:in std_logic;</p><p>  cq:out std_logic_vector(3 downto 0);</p><p>  cout:out std_log

24、ic);</p><p>  end jishu11;</p><p>  architecture behav of jishu11 is</p><p><b>  begin</b></p><p>  process(clk,rst,en)</p><p>  variable cqi:s

25、td_logic_vector(3 downto 0);</p><p><b>  begin</b></p><p>  if rst='1' then cqi:=(others=>'0');</p><p>  elsif clk'event and clk='1' then&

26、lt;/p><p>  if en='1' then</p><p>  if cqi<10 then cqi:=cqi+1;</p><p>  else cqi:=(others=>'0');</p><p><b>  end if;</b></p><p

27、><b>  end if;</b></p><p><b>  end if;</b></p><p>  if cqi=10 then cout<='1';</p><p>  else cout<='0';</p><p><b> 

28、 end if;</b></p><p><b>  cq<=cqi;</b></p><p>  end process;</p><p>  end behav;</p><p>  編譯成功后生成元件圖如下:</p><p><b>  圖9</b>&

29、lt;/p><p>  2).D觸發(fā)器的設計</p><p><b>  其程序如下:</b></p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  entity reg_2 is</p><

30、;p>  port(clk,d:in std_logic;</p><p>  q:out std_logic);</p><p>  end reg_2;</p><p>  architecture behav of reg_2 is</p><p>  signal q1:std_logic;</p><p&g

31、t;<b>  begin </b></p><p>  process(clk)</p><p><b>  begin </b></p><p>  if clk'event and clk='1' then </p><p><b>  q1<=d;&l

32、t;/b></p><p><b>  end if;</b></p><p>  end process;</p><p><b>  q<=q1;</b></p><p>  end behav;</p><p>  編譯成功后生成如下元件圖:</p&g

33、t;<p><b>  圖10</b></p><p>  將生成的75進制計數(shù)器、11進制計數(shù)器、10進制計數(shù)器和非門按下圖連接來得到1S高電平門閘信號。</p><p><b>  圖11</b></p><p>  將其電路圖進行仿真,其仿真波形如下:</p><p><b

34、>  圖12</b></p><p>  對照其仿真波形,其輸出門閘信號高電平為1S,符合設計,將其電路生成如下元件圖,以便頂層調用。</p><p><b>  圖13</b></p><p>  2).控制信號發(fā)生器模塊</p><p>  該模塊主要根據(jù)輸入高電平的1S閘門信號,產(chǎn)生計數(shù)允許信號E

35、N,該信號的高電平的持續(xù)時間即計數(shù)允許時間,與輸入的門閘控制時鐘脈沖周期相同;產(chǎn)生清零信號RST,在計數(shù)使能前對計數(shù)器先清零;產(chǎn)生存儲信號LOAD,在計數(shù)結束后,利用上升沿把最新的頻率測量值保存在顯示寄存器中。</p><p>  為了產(chǎn)生清零信號RST,使能信EN和存儲信號LOAD。不失一般性,控制信號發(fā)生器用74161構成4分頻計數(shù)器,用一個與非門,一個或非門和一個異或門實現(xiàn)3種譯碼狀態(tài),與閘門模塊按下圖連接

36、。</p><p><b>  圖14</b></p><p>  編譯成功后進行仿真,其仿真波形如下:</p><p><b>  圖15</b></p><p>  該功能正確無誤后生成的元件符號圖如下圖所示。</p><p><b>  圖16</b&g

37、t;</p><p>  2.3 分頻模塊的設計</p><p>  當被測頻率超出量程時,設計分頻模塊對被測頻率進行分頻衰減,單位上升,從而擴大測量頻率的范圍。</p><p>  1).四選一數(shù)據(jù)選擇器</p><p><b>  其仿真波形如下圖:</b></p><p><b>

38、  圖17</b></p><p>  其仿真波形真確無誤后生成元件符號圖如下圖所示。</p><p><b>  圖18</b></p><p><b>  2)分頻電路的設計</b></p><p>  將生成的四選一數(shù)據(jù)選擇、74139譯碼器、D觸發(fā)器和3個十進制計數(shù)器按下圖連接&

39、lt;/p><p><b>  圖19</b></p><p>  編譯成功后進行仿真,起仿真波形如下圖:</p><p><b>  圖20</b></p><p>  如圖所示,此電路圖實現(xiàn)了將被測信號進行分頻功能,通過四選一數(shù)據(jù)選擇器的控制按不同的BA二進制數(shù)值時輸出被測信號的1分頻、10分頻、1

40、00分頻、1000分頻,通過二四譯碼器按不同的BA二進制數(shù)值時輸出四個檔次p0、p1、p2、p3,分別代表1hz、10hz、100hz、1000hz為單位,其功能正確無誤后生成可調用的元件圖如下:</p><p><b>  圖21</b></p><p><b>  2.4 譯碼模塊</b></p><p>  譯碼模塊

41、是對計數(shù)出的數(shù)進行譯碼顯示出來,該部分由寄存器、動態(tài)掃描電路和譯碼驅動電路組成。</p><p><b>  1).寄存器設計</b></p><p>  寄存器是在計數(shù)結束后,利用觸發(fā)器的上升沿把最新的頻率測量值保存起來,這樣在計數(shù)過程中可不必一直看著數(shù)碼管顯示器,顯示器將最終的頻率讀數(shù)定期進行更新,其輸出將作為動態(tài)掃描電路的輸入。4位寄存器的VHDL源程序如下。&

42、lt;/p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  entity reg_4 is</p><p>  port(load:in std_logic;</p><p>  din:in std_logic_vector(3 d

43、ownto 0);</p><p>  dout:out std_logic_vector(3 downto 0));</p><p>  end reg_4;</p><p>  architecture behav of reg_4 is</p><p><b>  begin </b></p><

44、;p>  process(din)</p><p><b>  begin </b></p><p>  if load’event and load='1' then </p><p>  dout<=din;</p><p><b>  end if;</b><

45、/p><p>  end process;</p><p>  end behav;</p><p>  在源程序中LOAD 是鎖存信號,上升沿觸發(fā);din[3..0]是寄存器輸入;dout[3..0]</p><p>  是寄存器輸出。編譯仿真后生成元件圖如下圖,以便頂層模塊的調用。</p><p><b> 

46、 圖22</b></p><p><b>  2).動態(tài)掃描電路</b></p><p>  本設計采用掃描方式來實現(xiàn)LED數(shù)碼管動態(tài)顯示,控制好數(shù)碼管之間的延遲時間相當重要。根據(jù)人眼視覺暫留原理,LED數(shù)碼管每秒導通16次以上,人眼就無法LED數(shù)碼管短暫的不亮,認為是一直點亮的(其實LED數(shù)碼管是以一定頻率在閃動的)。但是,延時(導通頻率)也不是越小越好

47、,因為LED數(shù)碼管達到一定亮度需要一定時間。如果延時控制的不好則會出現(xiàn)閃動,或者亮度不夠,根據(jù)經(jīng)驗,延時0.005S可以達到滿意的效果。另外,顯示的字符有變化時,可在延時到達后送一個地電平(共陰極數(shù)碼管)LED數(shù)碼管先短暫熄滅,再顯示一個字符,可使在視覺上字符的變化更清楚。</p><p>  三、結論及心得體會 </p><p>  通過這次的課程設計讓我們提高了動手能力,加深鞏固了ED

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論