2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩19頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p>  第一章 出租車計(jì)價(jià)系統(tǒng)的設(shè)計(jì)要求與設(shè)計(jì)方案</p><p>  1.1 出租車計(jì)價(jià)器設(shè)計(jì)要求</p><p>  設(shè)計(jì)一個(gè)出租車自動(dòng)計(jì)費(fèi)器,計(jì)費(fèi)包括起步價(jià)、行車?yán)锍逃?jì)費(fèi)、等待時(shí)間計(jì)費(fèi)三部分,用七段數(shù)碼管顯示總金額,單價(jià),運(yùn)行里程,起步價(jià)為6元,超過(guò)6元,每一公里增加1元或2元,等待時(shí)間單價(jià)為每30秒鐘1元,</p><p>  計(jì)費(fèi)功能:費(fèi)

2、用的計(jì)算是按行駛里程收費(fèi)。設(shè)起步價(jià)為6元。</p><p>  1、當(dāng)總金額<6元時(shí),按起價(jià)計(jì)算費(fèi)用</p><p>  2、當(dāng)總金額>6元時(shí),每公里按1元或2元計(jì)費(fèi)</p><p>  3、等待累計(jì)時(shí)間>30s時(shí),按1元/30s計(jì)費(fèi)</p><p>  4、S1為啟動(dòng)按鈕、S2轉(zhuǎn)換單價(jià)按鈕、S3復(fù)位按鈕。</p>

3、;<p><b>  顯示功能:</b></p><p>  1、顯示行駛里程:用三位數(shù)字顯示,顯示方式為“XX.X”,單位為km。計(jì)程范圍0-99.9km,精確到0.1km。</p><p>  2、顯示單價(jià):用兩位數(shù)字顯示,顯示方式為“X.X”,單位為元。</p><p>  3、顯示總費(fèi)用:用三位數(shù)字顯示,顯示方式為“XX.

4、X”,單位為元。計(jì)價(jià)范圍0-99.9元,精確到0.1元。</p><p>  1.2 系統(tǒng)主要功能</p><p>  本出租車自動(dòng)計(jì)費(fèi),上電后顯示最初的起步價(jià),里程計(jì)費(fèi)單價(jià)。同時(shí)具有運(yùn)行,復(fù)位,轉(zhuǎn)換等狀態(tài),可以切換白天與晚上不同計(jì)費(fèi)單價(jià),可以實(shí)現(xiàn)每等待30s收1元功能。出租車顯示行駛的總費(fèi)用,里程,單價(jià)。</p><p>  1.3 方案論證與比較</p&g

5、t;<p>  方案一:采用數(shù)字電子技術(shù),利用555定時(shí)芯片構(gòu)成多諧振蕩器,或采用外圍的晶振電路作為時(shí)鐘脈沖信號(hào),采用計(jì)數(shù)芯片對(duì)脈沖盡心脈沖的計(jì)數(shù)和分頻,最后通過(guò)譯碼電路對(duì)數(shù)據(jù)進(jìn)行譯碼,將譯碼所得的數(shù)據(jù)送給數(shù)碼管顯示,一下是該方案的流程框圖,方案一如圖1-1所示:</p><p><b>  圖1-1方案一</b></p><p>  方案二:采用EDA

6、技術(shù),根據(jù)層次化設(shè)計(jì)理論,該設(shè)計(jì)問(wèn)題自頂向下可分為分頻模塊,控制模塊 計(jì)量模塊、譯碼和動(dòng)態(tài)掃描顯示模塊,其系統(tǒng)框圖如圖1-2所示:</p><p><b>  圖1-2方案二</b></p><p>  方案三:采用MCU技術(shù),通過(guò)單片機(jī)作為主控器,采用外部晶振作為時(shí)鐘脈沖,通過(guò)按鍵可以方便調(diào)節(jié),以下是方案三的系統(tǒng)流程圖,本方案主要是必須對(duì)于數(shù)字電路比較熟悉,成本又不

7、高。方案圖如圖1-3所示:</p><p><b>  圖1-3方案三</b></p><p>  方案總結(jié):通過(guò)各個(gè)方案的比較,本次采用方案三,不但控制簡(jiǎn)單,而且成本低廉,設(shè)計(jì)電路簡(jiǎn)單。</p><p>  第二章 出租車計(jì)價(jià)系統(tǒng)的硬件設(shè)計(jì)</p><p>  2.1 AT89C51單片機(jī)介紹</p>

8、<p>  AT89C51是一種帶4K字節(jié)閃爍可編程可擦除只讀存儲(chǔ)器的低電壓,高性能CMOS8位微處理器,俗稱單片機(jī)。該器件采用ATMEL高密度非易失存儲(chǔ)器制造技術(shù)制造,與工業(yè)標(biāo)準(zhǔn)的MCS-51指令集合輸出管腳相兼容。由于將多功能8位CPU和閃爍存儲(chǔ)器組合在單個(gè)芯片中,ATMEL的AT89S51是一種高效微控器,為很多嵌入式控制系統(tǒng)提供了一種靈活性且廉價(jià)的方案</p><p>  單片機(jī)各引腳功能說(shuō)明

9、:</p><p><b>  VCC:供電電壓。</b></p><p><b>  GND:接地。</b></p><p>  P0口:P0口為一個(gè)8位漏級(jí)開(kāi)路雙向I/O口,每腳可吸收8TTL門(mén)流。當(dāng)P1口的管腳第一次寫(xiě)1時(shí),被定義為高阻輸入。P0能夠用于外部程序數(shù)據(jù)存儲(chǔ)器,它可以被定義為數(shù)據(jù)/地址的第八位。在FIAS

10、H編程時(shí),P0 口作為原碼輸入口,當(dāng)FIASH進(jìn)行。校驗(yàn)時(shí),P0輸出原碼,此時(shí)P0外部必須被拉高。</p><p>  P1口:P1口是一個(gè)內(nèi)部提供上拉電阻的8位雙向I/O口,P1口緩沖器能接收輸出4TTL門(mén)電流。P1口管腳寫(xiě)入1后,被內(nèi)部上拉為高,可用作輸入,P1口被外部下拉為低電平時(shí),將輸出電流,這是由于內(nèi)部上拉的緣故。在FLASH編程和校驗(yàn)時(shí),P1口作為第八位地址接收。 </p><p&

11、gt;  P2口:P2口為一個(gè)內(nèi)部上拉電阻的8位雙向I/O口,P2口緩沖器可接收,輸出4個(gè)TTL門(mén)電流,當(dāng)P2口被寫(xiě)“1”時(shí),其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入時(shí),P2口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。P2口當(dāng)用于外部程序存儲(chǔ)器或16位地址外部數(shù)據(jù)存儲(chǔ)器進(jìn)行存取時(shí),P2口輸出地址的高八位。在給出地址“1”時(shí),它利用內(nèi)部上拉優(yōu)勢(shì),當(dāng)對(duì)外部八位地址數(shù)據(jù)存儲(chǔ)器進(jìn)行讀寫(xiě)時(shí),P2口輸出其特殊功能寄存器的內(nèi)容

12、。P2口在FLASH編程和校驗(yàn)時(shí)接收高八位地址信號(hào)和控制信號(hào)。</p><p>  P3口:P3口管腳是8個(gè)帶內(nèi)部上拉電阻的雙向I/O口,可接收輸出4個(gè)TTL門(mén)電流。當(dāng)P3口寫(xiě)入“1”后,它們被內(nèi)部上拉為高電平,并用作輸入。作為輸入,由于外部下拉為低電平,P3口將輸出電流(ILL)這是由于上拉的緣故。P3口也可作為AT89S52的一些特殊功能口,P3口同時(shí)為閃爍編程和編程校驗(yàn)接收一些控制信號(hào)。</p>

13、<p>  RST:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時(shí),要保持RST腳兩個(gè)機(jī)器周期的高電平時(shí)間。</p><p>  ALE/PROG:當(dāng)訪問(wèn)外部存儲(chǔ)器時(shí),地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)。</p><p>  /PSEN:外部程序存儲(chǔ)器的選通信號(hào)。在由外部程序存儲(chǔ)器取指期間,每個(gè)機(jī)器周期兩次/PSEN有效。但在訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),這兩次有效的/PSEN信號(hào)將不出現(xiàn)。

14、</p><p>  /EA/VPP:當(dāng)/EA保持低電平時(shí),則在此期間選擇外部程序存儲(chǔ)器(0000H-FFFFH),不管是否有內(nèi)部程序存儲(chǔ)器。</p><p>  XTAL1:反向振蕩放大器的輸入及內(nèi)部時(shí)鐘工作電路的輸入。</p><p>  XTAL2:來(lái)自反向振蕩器的輸出。</p><p>  2.2 里程計(jì)算、計(jì)價(jià)單元的設(shè)計(jì)</p

15、><p>  里程計(jì)算是通過(guò)安裝在車輪上的霍爾傳感器A44E檢測(cè)到的信號(hào),送到單片機(jī),經(jīng)處理計(jì)算,送給顯示單元的。其原理如圖2-1所示</p><p><b>  圖2-1</b></p><p>  由于A44E 屬于開(kāi)關(guān)型的霍爾器件,其工作電壓范圍比較寬(4.5~18V),其輸出的信號(hào)符合TTL 電平標(biāo)準(zhǔn),可以直接接到單片機(jī)的I/O 端口上,而

16、且其最高檢測(cè)頻率可達(dá)到1MHZ。</p><p>  如圖2-2,A44E 集成霍耳開(kāi)關(guān)由穩(wěn)壓器A、霍耳電勢(shì)發(fā)生器(即硅霍耳片)B、差分放大器C、施密特觸發(fā)器D 和OC 門(mén)輸出E 五個(gè)基本部分組成。</p><p>  圖2-2 路程測(cè)量電路</p><p>  在輸入端輸入電壓CC V ,經(jīng)穩(wěn)壓器穩(wěn)壓后加在霍耳電勢(shì)發(fā)生器的兩端,根據(jù)霍耳效應(yīng)原理,當(dāng)霍耳片處在磁場(chǎng)

17、中時(shí),在垂直于磁場(chǎng)的方向通以電流,則與這二者相垂直的方向上將會(huì)產(chǎn)生霍耳電勢(shì)差H V 輸出,該H V 信號(hào)經(jīng)放大器放大后送至施密特觸發(fā)器整形,使其成為方波輸送到OC 門(mén)輸出。當(dāng)施加的磁場(chǎng)達(dá)到工作點(diǎn)?(即OP B )時(shí),觸發(fā)器輸出高電壓(相對(duì)于地電位),使三極管導(dǎo)通,此時(shí)OC 門(mén)輸出端輸出低電壓,通常稱這種狀態(tài)為?開(kāi)?。當(dāng)施加的磁場(chǎng)達(dá)到?釋放點(diǎn)?(即rP B )時(shí),觸發(fā)器輸出低電壓,三極管截止,使OC 門(mén)輸出高電壓,這種狀態(tài)為?關(guān)?。這樣兩

18、次電壓變換,使霍耳開(kāi)關(guān)完成了一次開(kāi)關(guān)動(dòng)作。</p><p>  我們選擇了P3.5 口作為信號(hào)的輸入端,內(nèi)部采用計(jì)數(shù)的方式,車輪每轉(zhuǎn)一圈(我們?cè)O(shè)車輪的周長(zhǎng)是10米),霍爾開(kāi)關(guān)就檢測(cè)并輸出信號(hào),引起單片機(jī)對(duì)脈沖計(jì)數(shù),當(dāng)計(jì)數(shù)達(dá)到10次時(shí),也就是0.1 公里,單片機(jī)就控制將金額自動(dòng)的加增加,其計(jì)算公式:當(dāng)前單價(jià)× 公里數(shù)=金額。</p><p>  2.3 數(shù)據(jù)顯示單元的設(shè)計(jì)<

19、/p><p>  由于設(shè)計(jì)要求有單價(jià)(2 位)、路程(3位)、總金額(3位)顯示輸出,我們采用8個(gè)7段數(shù)碼管動(dòng)態(tài)顯示。如圖2-3:</p><p>  圖2-3 數(shù)據(jù)顯示硬件電路圖</p><p>  2.4 按鍵單元的設(shè)計(jì)</p><p>  電路共采用了四個(gè)按鍵,S1、S2、S3、S4,其功能分別是:S1 啟動(dòng)計(jì)價(jià)開(kāi)關(guān)、S2 白天/晚上轉(zhuǎn)換開(kāi)

20、關(guān)、S3 數(shù)據(jù)復(fù)位清零開(kāi)關(guān)、 S4閉合表示出租車正常運(yùn)行,計(jì)價(jià)器也正常計(jì)價(jià);若打開(kāi)S4則表示出租車在暫停,轉(zhuǎn)入判斷是否收費(fèi)程序,閉合超過(guò)30秒,開(kāi)始計(jì)價(jià)。如圖2-4:</p><p>  圖2-4 按鍵單元電路圖</p><p><b>  2.5 振蕩電路</b></p><p>  單片機(jī)內(nèi)部有一個(gè)高增益、反相放大器,其輸入端為芯片引腳XT

21、AL1,其輸出端為引腳XTAL2。通過(guò)這兩個(gè)引腳在芯片外并接石英晶體振蕩器和兩只電容(電容和一般取33pF)。這樣就構(gòu)成一個(gè)穩(wěn)定的自激振蕩器。 振蕩電路脈沖經(jīng)過(guò)二分頻后作為系統(tǒng)的時(shí)鐘信號(hào),再在二分頻的基礎(chǔ)上三分頻產(chǎn)生ALE信號(hào),此時(shí)得到的信號(hào)時(shí)機(jī)器周期信號(hào)。振蕩電路如圖2-5所示:</p><p>  圖2-5 振蕩電路<

22、;/p><p><b>  2.6 復(fù)位電路</b></p><p>  復(fù)位操作有兩種基本形式:一種是上電復(fù)位,另一種是按鍵復(fù)位。按鍵復(fù)位具有上電復(fù)位功能外,若要復(fù)位,只要按圖中的RESET鍵,電源VCC經(jīng)電阻R1、R2分壓,在RESET端產(chǎn)生一個(gè)復(fù)位高電平。上電復(fù)位電路要求接通電源后,通過(guò)外部電容充電來(lái)實(shí)現(xiàn)單片機(jī)自動(dòng)復(fù)位操作。上電瞬間RESET引腳獲得高電平,隨著電

23、容的充電,RERST引腳的高電平將逐漸下降。RERST引腳的高電平只要能保持足夠的時(shí)間(2個(gè)機(jī)器周期),單片機(jī)就可以進(jìn)行復(fù)位操作。按鍵復(fù)位電路圖如圖2-6所示。</p><p>  圖2-6 復(fù)位電路</p><p><b>  總電路圖</b></p><p>  第三章 出租車計(jì)價(jià)系統(tǒng)的軟件設(shè)計(jì)</p><p>

24、  3.1主程序流程圖記描述</p><p>  由于用到了外部中斷0,所以,按中斷系統(tǒng)的編程結(jié)構(gòu)在0000H處放置一條長(zhǎng)跳轉(zhuǎn)指令LJMP START跳轉(zhuǎn)到主程序入口,在外部中斷0的中斷入口地址0003H處放置一條長(zhǎng)跳轉(zhuǎn)指令LJMP EXT0跳轉(zhuǎn)到外部中斷0服務(wù)程序處。主程序進(jìn)行程序中用到的一些存儲(chǔ)單元的初始化,T0,T1的初始化。首先,進(jìn)行存儲(chǔ)器單元初始化,將71H-79H單元賦值。然后進(jìn)行定時(shí)器的設(shè)置。設(shè)置T

25、1的工作方式為模式2,計(jì)數(shù)狀態(tài),自啟動(dòng)。T0的工作方式,模式1,定時(shí)狀態(tài),自啟動(dòng),對(duì)輸入的脈沖進(jìn)行計(jì)數(shù),給T0、T1賦初值。之后調(diào)用計(jì)數(shù)脈沖子程序,及等待子程序,數(shù)碼管動(dòng)態(tài)顯示子程序,最后進(jìn)入鍵盤(pán)掃描子程序進(jìn)行掃描。主程序不斷進(jìn)行調(diào)用數(shù)碼管顯示子程序及鍵盤(pán)掃描子程序循環(huán)操作,等待中斷。如圖3-1:</p><p>  圖3-1 主程序流程圖</p><p>  3.2計(jì)費(fèi)子程序流程圖&l

26、t;/p><p>  72H存儲(chǔ)總金額小數(shù)位,73H存儲(chǔ)器總金額個(gè)位,78H存儲(chǔ)器總金額十位,77H存儲(chǔ)單價(jià)元。將72H中值放入A中,再將A與77H相加,即小數(shù)位與單價(jià)相加,結(jié)果存入A,再進(jìn)行十進(jìn)制調(diào)整,將結(jié)果存于R6,將高四位與低四位交換并屏蔽高四位,保留低四位與個(gè)位相加得到總金額個(gè)位。若總金額超過(guò)10則清零,并且總金額十位加1。將R6中數(shù)值取出,屏蔽高四位,輸出總金額小數(shù)位。如圖3-2:</p>&

27、lt;p>  圖3-2 計(jì)費(fèi)子程序流程圖</p><p>  3.3 等待是否收費(fèi)子程序</p><p>  在出租車的計(jì)價(jià)系統(tǒng)中,出租車在等待的時(shí)候也要計(jì)價(jià),本設(shè)計(jì)體現(xiàn)了這點(diǎn)。程序判斷了出租車是否停止,若停止30秒以上是,開(kāi)始計(jì)價(jià)。55H中賦初值20,56H中賦初值10,總共30秒延時(shí)。超過(guò)30秒時(shí),調(diào)用計(jì)費(fèi)子程序。如圖3-3</p><p>  圖3-

28、3 等待是否收費(fèi)子程序流程圖</p><p>  3.4 按鍵子程序流程圖</p><p>  圖3-4 等待收費(fèi)子程序流程圖</p><p>  3.5 外部中斷0流程圖</p><p>  圖3-5 外部中斷0子程序流程圖</p><p><b>  程序</b></p>

29、<p>  ORG 0000H</p><p>  LJMP MAIN</p><p>  ORG 0003H ;外部中斷入口地址</p><p><b>  LJMP REST</b></p><p><b>  ORG 030H</b></p>

30、<p>  MAIN: </p><p>  MOV 71H,#00H ;脈沖計(jì)數(shù)每10個(gè)脈沖里程數(shù)加0.1顯示緩沖單元</p><p>  MOV 72H,#00H ;存儲(chǔ)總金額小數(shù)位</p><p>  MOV 73H,#00H ;存儲(chǔ)總金額個(gè)位</p><p>  MOV 74H,#00H ;存儲(chǔ)里程數(shù)小數(shù)

31、位</p><p>  MOV 75H,#00H ;存儲(chǔ)里程數(shù)個(gè)位</p><p>  MOV 76H,#00H ;存儲(chǔ)單價(jià)角位</p><p>  MOV 77H,#01H ;存儲(chǔ)單價(jià)元位</p><p>  MOV 78H,#00H ;存儲(chǔ)總金額十位</p><p>  MOV 79H,#00H ;存儲(chǔ)里程數(shù)十位&

32、lt;/p><p>  MOV 55H,#20 ;給定等待初值20*50ms</p><p>  MOV 56H,#10 ;10秒等待時(shí)間初值</p><p>  SETB EA ;開(kāi)總中斷</p><p>  SETB EX0 ;開(kāi)外部中斷0</p><p>  MOV TCON,#04H ;外部中

33、斷0低電平</p><p>  MOV TMOD,#61H ;設(shè)置使用定時(shí)器1,模式2,計(jì)數(shù)狀態(tài),自啟動(dòng),定時(shí)器0,模式1,定時(shí)狀態(tài),自啟動(dòng)</p><p>  MOV TL0,#0B0H ;50ms初值設(shè)定C350H</p><p>  MOV TH0,#03CH</p><p>  MOV TL1,#0FFH ;設(shè)置定時(shí)器低八位初值為

34、FFH,即有一脈沖輸入就溢出</p><p>  MOV TH1,#0FFH ;設(shè)置定時(shí)器高八位初值為FFH,用于重裝低八位</p><p><b>  START:</b></p><p>  LCALL PULSE ;調(diào)用計(jì)數(shù)脈沖子程序</p><p>  LCALL WAIT ;調(diào)用等待子程序</p&

35、gt;<p>  LCALL DISPLAY;調(diào)用顯示程序進(jìn)行顯示</p><p>  LCALL KEY ;調(diào)用鍵盤(pán)掃描程序</p><p>  SJMP START ;返回START處繼續(xù)循環(huán)執(zhí)行</p><p><b>  REST:</b></p><p><b>  PUSH

36、 ACC</b></p><p>  MOV 71H,#00H ;脈沖計(jì)數(shù)每10個(gè)脈沖里程數(shù)加1顯示緩存單元</p><p>  MOV 72H,#00H ;存儲(chǔ)總金額個(gè)位</p><p>  MOV 73H,#00H ;存儲(chǔ)總金額十位</p><p>  MOV 74H,#00H ;存儲(chǔ)里程數(shù)個(gè)位</p>

37、<p>  MOV 75H,#00H ;存儲(chǔ)里程數(shù)十位</p><p>  MOV 78H,#00H ;存儲(chǔ)總金額百位</p><p>  MOV 79H,#00H ;存儲(chǔ)里程數(shù)百位</p><p>  MOV 55H,#20</p><p>  MOV 56H,#10</p><p><b> 

38、 POP ACC</b></p><p><b>  RETI</b></p><p><b>  PULSE:</b></p><p>  JBC TF1,PTO1 ;TF1為1則轉(zhuǎn)PT01</p><p>  LJMP PSOUT</p><p>  PTO1

39、: MOV 55H,#20</p><p>  MOV 56H,#10</p><p><b>  INC 71H</b></p><p>  MOV R1,71H</p><p>  CJNE R1,#0AH,PSOUT ;脈沖調(diào)整,改變立即數(shù)即可;里程數(shù)個(gè)位74H,R1不等于0AH則轉(zhuǎn)PSOUT</p>

40、<p>  MOV 71H,#00H</p><p><b>  INC 74H</b></p><p>  LCALL MONEY</p><p>  MOV R1,74H</p><p>  CJNE R1,#0AH,PSOUT ;里程數(shù)十位75H</p><p>  MOV 7

41、4H,#00H</p><p><b>  INC 75H</b></p><p>  MOV R1,75H</p><p>  CJNE R1,#0AH,PSOUT</p><p>  MOV 75H,#00H</p><p><b>  INC 79H</b></p

42、><p>  MOV R1,79H</p><p>  CJNE R1,#0AH,PSOUT</p><p>  MOV 79H,#00H</p><p><b>  PSOUT:RET</b></p><p><b>  WAIT:</b></p><p&g

43、t;  JBC TF0,PTO2</p><p><b>  LJMP CHU0</b></p><p>  PTO2:MOV TL0,#0B0H</p><p>  MOV TH0,#03CH</p><p>  DJNZ 55H,CHU0 ;不為0則轉(zhuǎn)</p><p>  MOV 55H,#

44、20</p><p>  DJNZ 56H,CHU0</p><p>  MOV 56H,#10 ;30秒等待計(jì)時(shí)</p><p><b>  INC 72H</b></p><p><b>  MOV A,72H</b></p><p>  LCALL MONEY1<

45、;/p><p><b>  CHU0:RET</b></p><p><b>  MONEY:</b></p><p><b>  MOV A,72H</b></p><p><b>  ADD A,77H</b></p><p>  

46、MONEY1:DA A</p><p><b>  MOV R6,A</b></p><p><b>  SWAP A</b></p><p>  ANL A,#0FH</p><p><b>  ADD A,73H</b></p><p><b&

47、gt;  MOV 73H,A</b></p><p>  CJNE A,#0AH,MONEY2</p><p>  MOV 73H,#00H</p><p><b>  INC 78H</b></p><p><b>  MOV A,78H</b></p><p>

48、;  CJNE A,#0AH,MONEY2</p><p>  MOV 78H,#00H</p><p>  MONEY2:MOV A,R6</p><p>  ANL A,#0FH</p><p><b>  MOV 72H,A</b></p><p><b>  RET</b&

49、gt;</p><p>  DISPLAY:MOV A,78H</p><p>  CJNE A,#00H,L2</p><p>  MOV A,73H</p><p>  CJNE A,#06H,L1</p><p><b>  L1:JNC L2</b></p><

50、p>  MOV A,#82H </p><p>  ADD A,#80H </p><p><b>  MOV P0,A</b></p><p>  MOV P2,#02H</p><p>  LCALL DELAY</p><p>  MOV P2,#00H</p>

51、<p>  MOV A,#0C0H</p><p><b>  MOV P0,A</b></p><p>  MOV P2,#01H</p><p>  LCALL DELAY</p><p>  MOV P2,#00H</p><p>  MOV A,#0C0H</p>

52、<p><b>  MOV P0,A</b></p><p>  MOV P2,#40H</p><p>  LCALL DELAY</p><p>  MOV P2,#00H</p><p><b>  LJMP L3</b></p><p>  L2:MO

53、V A,73H</p><p>  MOV DPTR,#TAB</p><p>  MOVC A,@A+DPTR</p><p>  ADD A,#80H</p><p><b>  MOV P0,A</b></p><p>  MOV P2,#02H</p><p> 

54、 LCALL DELAY</p><p>  MOV P2,#00H</p><p><b>  MOV A,72H</b></p><p>  MOVC A,@A+DPTR</p><p><b>  MOV P0,A</b></p><p>  MOV P2,#01H&l

55、t;/p><p>  LCALL DELAY</p><p>  MOV P2,#00H</p><p><b>  MOV A,78H</b></p><p>  MOVC A,@A+DPTR</p><p><b>  MOV P0,A</b></p><

56、p>  MOV P2,#40H</p><p>  LCALL DELAY</p><p>  MOV P2,#00H</p><p>  L3:MOV A,75H</p><p>  MOV DPTR,#TAB</p><p>  MOVC A,@A+DPTR </p><p>

57、;  ADD A,#80H</p><p><b>  MOV P0,A</b></p><p>  MOV P2,#08H</p><p>  LCALL DELAY</p><p>  MOV P2,#00H</p><p><b>  MOV A,74H</b><

58、/p><p>  MOVC A,@A+DPTR</p><p><b>  MOV P0,A</b></p><p>  MOV P2,#04H</p><p>  LCALL DELAY</p><p>  MOV P2,#00H</p><p><b>  MOV

59、 A,77H</b></p><p>  MOVC A,@A+DPTR</p><p>  ADD A,#80H</p><p><b>  MOV P0,A</b></p><p>  MOV P2,#20H</p><p>  LCALL DELAY</p><

60、p>  MOV P2,#00H</p><p><b>  MOV A,76H</b></p><p>  MOVC A,@A+DPTR</p><p><b>  MOV P0,A</b></p><p>  MOV P2,#10H</p><p>  LCALL D

61、ELAY</p><p>  MOV P2,#00H</p><p><b>  MOV A,79H</b></p><p>  MOVC A,@A+DPTR</p><p><b>  MOV P0,A</b></p><p>  MOV P2,#80H</p>

62、<p>  LCALL DELAY</p><p>  MOV P2,#00H</p><p><b>  RET</b></p><p><b>  KEY:</b></p><p>  MOV A,#0FH</p><p><b>  MOV P3

63、,A</b></p><p><b>  MOV A,P3</b></p><p>  ORL A,#0F0H</p><p>  CJNE A,#0FFH,KEY1</p><p>  LCALL DELAY</p><p>  SJMP EKEOUT</p><

64、p>  KEY1: LCALL DELAY</p><p><b>  MOV A,P3</b></p><p>  ORL A,#0F0H</p><p>  CJNE A,#0FFH,KEY2</p><p>  SJMP EKEOUT</p><p>  KEY2: MOV R1,A&

65、lt;/p><p>  KEY3: MOV A,P3</p><p>  ORL A,#0FCH</p><p>  CJNE A,#0FFH,KEY3</p><p><b>  MOV A,R1</b></p><p>  JNB ACC.0,RUN</p><p>  J

66、NB ACC.1,CHANGE</p><p>  SJMP EKEOUT</p><p>  RUN: SETB TR0</p><p><b>  SETB TR1</b></p><p><b>  SETB EA </b></p><p>  SJMP EKEOUT

67、</p><p>  EKEOUT:RET</p><p><b>  CHANGE:</b></p><p><b>  PUSH ACC</b></p><p><b>  MOV A,77H</b></p><p>  CJNE A,#02H,NI

68、GHT</p><p>  MOV 77H,#01H</p><p>  SJMP CHOUT</p><p>  NIGHT: MOV 77H,#02H</p><p>  CHOUT: POP ACC</p><p><b>  RET</b></p><p>  DE

69、LAY: MOV R7,#100</p><p>  DJNZ R7,$</p><p><b>  RET</b></p><p>  TAB: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H</p><p><b>  END</b></

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論