2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩9頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、湖南科技大學(xué)信息與電氣工程學(xué)院VHDLVHDL課程設(shè)計(jì)報(bào)告課程設(shè)計(jì)報(bào)告專(zhuān)業(yè):業(yè):電子信息工程電子信息工程班級(jí):級(jí):一班一班姓名:名:崔永康學(xué)號(hào):號(hào):11040301151104030115指導(dǎo)老師:指導(dǎo)老師:羅朝輝1、課程設(shè)計(jì)的目的。本設(shè)計(jì)的任務(wù)是熟悉支持VHDL語(yǔ)言的軟件,如MaxPlus2,quartus等,利用這一類(lèi)軟件使用VHDL語(yǔ)言進(jìn)行設(shè)計(jì)編譯仿真。本次設(shè)計(jì)的主要目的:1、使我們熟練掌握相關(guān)軟件MaxPlus2及quartus

2、的使用操作。能對(duì)VHDL語(yǔ)言程序進(jìn)行編譯及調(diào)試,以及通過(guò)計(jì)算機(jī)仿真,得到正確的仿真波形圖,并根據(jù)所得仿真波形圖分析判斷并改進(jìn)所設(shè)計(jì)的電路。2、在成功掌握軟件操作基礎(chǔ)上,讓學(xué)生將所學(xué)數(shù)字電路的基礎(chǔ)課知識(shí)與VHDL語(yǔ)言的應(yīng)用型知識(shí)結(jié)合起來(lái)并與實(shí)際設(shè)計(jì),操作聯(lián)系起來(lái),即“理論聯(lián)系實(shí)際”。要求學(xué)生自主設(shè)計(jì)電路,編寫(xiě)程序,鼓勵(lì)新思路,新方法,新觀點(diǎn)。3、完成可編程器件與VHDL語(yǔ)言課程設(shè)計(jì),掌握設(shè)計(jì)語(yǔ)言技術(shù)的綜合應(yīng)用性。通過(guò)對(duì)課程的設(shè)計(jì)、仿真、調(diào)

3、試來(lái)具體完成。4、熟悉系統(tǒng)的分析和設(shè)計(jì)方法,合理掌握選用集成電路的方法,初步接觸EDA技術(shù),為以后本專(zhuān)業(yè)的學(xué)習(xí)奠定良好的基礎(chǔ)。2、設(shè)計(jì)方案的論證。彩燈控制器電路是整個(gè)設(shè)計(jì)的核心,它控制整個(gè)設(shè)計(jì)的輸出效果也就是圖案的樣式變化。在電路中用1代表燈亮,用O代表燈滅,由0,1按不同的規(guī)律組合代表不同的燈光圖案,同時(shí)使其選擇不同的頻率,以實(shí)現(xiàn)多種圖案及多種頻率的花樣功能顯示。該程序充分證明了用VHDL設(shè)計(jì)電路的靈活性,即可以通過(guò)改變程序中輸出變量

4、的位數(shù)來(lái)改變彩燈的數(shù)目。彩燈控制器的第1種花樣為彩燈從右到左,然后從左到右逐次點(diǎn)亮,全滅全亮,第2種花樣為彩燈兩邊同時(shí)亮1個(gè)逐次向中間移動(dòng)再散開(kāi);第3種花樣為彩燈兩邊同時(shí)亮2個(gè)逐次向中間移動(dòng)再散開(kāi)個(gè)花樣自動(dòng)變換,循環(huán)往復(fù)。3、設(shè)計(jì)仿真及結(jié)果分析。LIBRARYIEEEUSEIEEE.STD_LOGIC_1164.ALLUSEIEEE.STD_LOGIC_UNSIGNED.ALLENTITYcollightISPT(clkclr:INST

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論