2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩52頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、第9章 單片機常用接口電路設(shè)計,9.1 數(shù)碼管顯示器接口原理及應(yīng)用9.2 點陣顯示原理及應(yīng)用9.3 LCD顯示原理及應(yīng)用9.4 鍵盤接口原理及應(yīng)用9.5 串行A/D轉(zhuǎn)換接口芯片TLC5499.6 串行D/A轉(zhuǎn)換接口芯片MAX5179.7 直流電機控制電路9.8 步進電機的控制9.9 紅外遙控電路習(xí) 題,章主要介紹單片機系統(tǒng)與外部設(shè)備的接口電路,例如LED、LCD、點陣、A/D和D/A等一

2、些常用的外設(shè)接口電路。,9.1 數(shù)碼管顯示器接口原理及應(yīng)用,在單片機應(yīng)用系統(tǒng)中,顯示器是最常用的輸出設(shè)備。常用的顯示器有:數(shù)碼管(LED)、液晶顯示器(LCD)和熒光屏顯示器。其中以數(shù)碼管顯示最便宜,而且它的配置靈活,與單片機接口簡單,廣泛應(yīng)用于單片機系統(tǒng)中。 9.1.1 案例介紹及知識要點19.1.2 程序示例19.1.3 知識總結(jié)——結(jié)構(gòu)及顯示原理9.1.4 知識總結(jié)——LED靜態(tài)顯示原理9.1.5 案例介紹及

3、知識要點29.1.6 程序示例29.1.7 知識總結(jié)——LED動態(tài)顯示原理9.1.8 實戰(zhàn)練習(xí),9.1.1 案例介紹及知識要點1,編寫程序,讓數(shù)碼管從0~F依次循環(huán)顯示,時間間隔為1s,電路原理圖如圖9-1所示。了解數(shù)碼管的基本結(jié)構(gòu)和工作原理。學(xué)會設(shè)計硬件驅(qū)動電路。掌握靜態(tài)顯示的原理。,9.1.2 程序示例1 數(shù)碼管顯示電路,9.1.3 知識總結(jié)——結(jié)構(gòu)及顯示原理,LED顯示器是單片機應(yīng)用系統(tǒng)中常用的顯示器件

4、。它是由若干個發(fā)光二極管組成的,當(dāng)發(fā)光二極管導(dǎo)通時,相應(yīng)的一個點或一個筆畫發(fā)亮,控制不同組合二極管導(dǎo)通,就能顯示出各種字符,如表9-1所示。,9.1.4 知識總結(jié)——LED靜態(tài)顯示原理,靜態(tài)顯示就是當(dāng)要顯示某個數(shù)字時,可以通過給LED的數(shù)據(jù)引腳設(shè)置相應(yīng)的高低電平即可實現(xiàn)顯示相應(yīng)數(shù)據(jù)。例如,一個4位靜態(tài)顯示電路,如圖9-3所示。由于顯示器中各位相互獨立,而且各位的顯示字符完全取決于對應(yīng)口的輸出數(shù)據(jù),如果數(shù)據(jù)不改變,那么顯示器的顯示亮度

5、將不會受影響,所以靜態(tài)顯示器的亮度都較高。但是從圖9-3中可以看出它需要4個8位的數(shù)據(jù)總線,這對于單片機來說幾乎占用了所有的I/O端口,所以顯示位數(shù)過多時,就不會采用靜態(tài)顯示這種方法。,9.1.5 案例介紹及知識要點2,編寫程序,讓開發(fā)板上的8位數(shù)碼管先第0位顯示0,其他位不顯示,然后第一位顯示1,每次只有一位數(shù)碼管顯示,按此順序輪流顯示到7,時間間隔為1s,電路原理圖參照圖9-1。在靜態(tài)顯示的基礎(chǔ)上了解輪流顯示的原理。思考并總結(jié)

6、動態(tài)顯示原理。,9.1.6 程序示例2,本程序?qū)崿F(xiàn)了8位數(shù)碼管的輪流顯示,思考一下不難看出如果在這基礎(chǔ)上調(diào)整延時參數(shù),當(dāng)延時達到一個合適的值,即可實現(xiàn)讓8位數(shù)碼管同時顯示0~7,這就是所謂的動態(tài)顯示。,9.1.7 知識總結(jié)——LED動態(tài)顯示原理,所謂動態(tài)顯示就是將要顯示的數(shù)按顯示數(shù)的順序在各個數(shù)碼管上一位一位的顯示,它利用人眼的駐留效應(yīng)使人感覺不到是一位一位顯示的,而是一起顯示的。4位動態(tài)顯示的電路,如圖9-4所示,它將每個顯示器的

7、段代碼連在一起,所以同樣顯示的是4位,但是動態(tài)顯示的段代碼數(shù)據(jù)數(shù)卻只要8根。動態(tài)顯示時數(shù)碼管的數(shù)目還可以再擴展。,9.1.8 實戰(zhàn)練習(xí),數(shù)碼管為共陰極的,現(xiàn)有0123  4位數(shù)要顯示,0是最高位,3是最低位。注意:為了在以后程序中能夠使用現(xiàn)有程序,提高程序的可移植性,本例對管腳的定義和函數(shù)名稱都重新命名,以實現(xiàn)與后面程序的統(tǒng)一。電路原理圖參照圖9-1。,9.2 點陣顯示原理及應(yīng)用,LED點陣顯示屏是通過PC將要顯示的漢字字

8、模提取出來,并發(fā)給單片機,然后顯示在點陣屏上,主要適用于室內(nèi)外漢字顯示。LED點陣顯示屏按照顯示的內(nèi)容可以分為圖文顯示屏、圖像顯示屏和視頻顯示屏。與圖像顯示屏相比,圖文顯示屏的特點就在于無論是單色還是彩色顯示屏都沒有顏色上的灰度差別,因此圖文顯示屏也就體現(xiàn)不出色彩的豐富性,而視頻顯示屏不僅能夠顯示運動、清晰和全彩色的圖像,還能夠播放電視和計算機信號。雖然這三者有一些區(qū)別,但它們最基礎(chǔ)的顯示控制原理都是相似的。 9.2.1 案例介紹

9、及知識要點9.2.2 程序示例9.2.3 知識總結(jié)——硬件設(shè)計,9.2.1 案例介紹及知識要點,圖9-5所示是LED點陣的應(yīng)用電路圖,功能是實現(xiàn)循環(huán)顯示數(shù)字0~9。了解點陣的驅(qū)動方式。了解開發(fā)板硬件電路的的設(shè)計。,9.2.2 程序示例,9.2.3 知識總結(jié)——硬件設(shè)計,1.主要器件介紹2.LED點陣塊,9.3 LCD顯示原理及應(yīng)用,液晶顯示器簡稱LCD,它是利用液晶經(jīng)過處理后能改變光線的傳輸方向的特性實現(xiàn)顯示信息的

10、。LCD具有體積小、重量輕、功耗極低,以及顯示內(nèi)容豐富等特點,正廣泛應(yīng)用于便攜式儀器儀表、智能儀器和消費類電子產(chǎn)品等領(lǐng)域。液晶顯示是通過液晶顯示模塊實現(xiàn)的。液晶顯示模塊(LCD Module)是一種將液晶顯示器、控制器和驅(qū)動器裝配在一起的組件。按其功能可分為3類:筆段式液晶顯示器、字符點陣式顯示器和圖形點陣式液晶顯示器。前兩種可顯示數(shù)字、字符和符號等,而圖形點陣式顯示器還可以顯示漢字和任意圖形,達到圖文并茂的效果。本書將只對應(yīng)用廣泛、

11、使用比較簡單的字符型點陣式液晶顯示器作介紹。 9.3.1 案例介紹及知識要點9.3.2 程序示例9.3.3 知識總結(jié)——接口信號說明9.3.4 知識總結(jié)——操作時序說明9.3.5 知識總結(jié)——指令格式和指令功能9.3.6 知識總結(jié)——液晶顯示初始化過程,9.3.1 案例介紹及知識要點,圖9-7是LCD顯示器與80C51單片機的接口圖,圖中LCD1602的數(shù)據(jù)線與80C51的P0口相連,RS與80C51的P2.0

12、相連,R/W與80C51的P2.1相連。編寫程序,使在LCD顯示器的第1行、第4列開始顯示“Welcome to”,第二行、第6列開始顯示“sdut university”。了解液晶顯示模塊的接口信號。了解LCD1602液晶的的操作時序,并能根據(jù)時序?qū)懗鲵?qū)動程序。掌握液晶顯示模塊硬件電路的設(shè)計。了解液晶顯示屏的相關(guān)操作命令。了解液晶顯示的初始化過程。,9.3.2 程序示例,,9.3.3 知識總結(jié)——接口信號說明,RT-16

13、02C字符型液晶模塊是兩行16個字的5?7點陣圖形來顯示字符的液晶顯示器,它的外觀形狀如圖9-8所示。RT-1602C采用標(biāo)準(zhǔn)的16接口,各引腳情況如下。第1腳:Vss,電源地。第2腳:VDD,+5V電源。第3腳:VL,液晶顯示偏壓信號。第4腳:RS,RS為數(shù)據(jù)/命令寄存器選擇端,高電平時選擇數(shù)據(jù)寄存器,低電平時選擇指令寄存器。第5腳:R/W,讀/寫信號選擇端,高電平時進行讀操作,低電平時進行寫操作。當(dāng)RS和R/W共同為低電

14、平時,可以寫入指令或者顯示地址;當(dāng)RS為低電平,R/W為高電平時,可以讀忙信號;當(dāng)RS為高電平,R/W為低電平時,可以寫入數(shù)據(jù)。第6腳:E端為使能端,當(dāng)E端由高電平跳變成低電平時,液晶模塊執(zhí)行命令。第7~14腳:D0~D7為8位雙向數(shù)據(jù)線。第15腳:BLA,背光源正極。第16腳:BLK,背光源負(fù)極。,9.3.4 知識總結(jié)——操作時序說明,1.讀操作時序,如圖9-9所示2.寫操作時序,如圖9-10所示3.時序參數(shù),如表9-2

15、所示,9.3.5 知識總結(jié)——指令格式和指令功能,液晶顯示模塊RT-1602C的控制器采用HD44780,控制器HD44780內(nèi)有多個寄存器,通過RS和R/W引腳共同決定選擇哪一個寄存器。1.清屏命令2.光標(biāo)復(fù)位命令3.輸入方式設(shè)置命令4.顯示開關(guān)控制命令5.光標(biāo)移位置命令6.功能設(shè)置命令7.設(shè)置字庫CGRAM地址命令8.顯示緩沖區(qū)DDRAM地址設(shè)置命令9.讀忙標(biāo)志及地址計數(shù)器AC命令10.寫DDRAM或CGRAM命令

16、11.讀DDRAM或CGRAM命令,9.3.6 知識總結(jié)——液晶顯示初始化過程,使用LCD之前必須對它進行初始化,初始化可通過復(fù)位完成,也可在復(fù)位后完成,初始化過程如下。(1)清屏;(2)功能設(shè)置;(3)開/關(guān)顯示設(shè)置;(4)輸入方式設(shè)置。具體操作命令參照LCD 1602手冊。,9.4 鍵盤接口原理及應(yīng)用,鍵盤是由若干個按鍵組成的開關(guān)矩陣,它是最簡單的單片機輸入設(shè)備,通過鍵盤輸入數(shù)據(jù)或命令,實現(xiàn)簡單的人機對話。鍵

17、盤上閉合鍵的識別是由專用硬件實現(xiàn)的,稱為編碼鍵盤,靠軟件實現(xiàn)的稱為非編碼鍵盤。非編碼鍵盤又有獨立按鍵和矩陣鍵盤,獨立按鍵非常簡單,本書重點介紹矩陣鍵盤。 9.4.1 案例介紹及知識要點19.4.2 程序示例19.4.3 知識總結(jié)——鍵輸入原理9.4.4 案例介紹及知識要點29.4.5 程序示例29.4.6 知識總結(jié)——矩陣式鍵盤電路的結(jié)構(gòu)及原理9.4.7 知識總結(jié)——按鍵的去抖動,9.4.1 案例介紹及知識

18、要點1,設(shè)計一簡化的獨立鍵盤程序。程序中省略了軟件去抖動部分,OPR0~OPR7分別為每個按鍵的功能程序。設(shè)I/O口為P1口,P1.0~P1.7對應(yīng)OPR0~OPR7,電路圖如圖9-11所示。了解鍵輸入的工作原理。了解獨立按鍵的優(yōu)缺點。,9.4.2 程序示例1,,9.4.3 知識總結(jié)——鍵輸入原理,在單片機應(yīng)用系統(tǒng)中,除了復(fù)位按鍵有專門的復(fù)位電路及專一的復(fù)位功能外,其他的按鍵或鍵盤都是以開關(guān)狀態(tài)來設(shè)置控制功能或輸入數(shù)據(jù),這些按鍵

19、不只是簡單的電平輸入。當(dāng)所設(shè)置的功能鍵或數(shù)字鍵按下時,計算機應(yīng)用系統(tǒng)應(yīng)完成該按鍵所設(shè)定的功能。鍵信息輸入是與軟件結(jié)構(gòu)密切相關(guān)的過程。對某些應(yīng)用系統(tǒng),例如智能儀器儀表,鍵輸入程序是整個應(yīng)用程序的重要部分。對于一組或一個按鍵,需要通過接口電路與CPU相連。CPU可以采用查詢或中斷方式了解有無按鍵輸入并檢查是哪一個按鍵按下,并將該按鍵號送入累加器ACC中,然后通過跳轉(zhuǎn)指令轉(zhuǎn)入執(zhí)行該鍵的功能程序,執(zhí)行完又返回到原始狀態(tài)。獨立式按鍵電路配置靈

20、活,硬件結(jié)構(gòu)簡單,但每個按鍵必須占有一根I/O口線,在按鍵數(shù)量較多時,I/O口線浪費較大。故只有在按鍵數(shù)量較少時采用這種按鍵電路。在圖9-11所示的電路中,按鍵輸入都采用低電平有效,上拉電阻保證了按鍵斷開時,I/O口線有確定的高電平。,9.4.4 案例介紹及知識要點2,編寫程序,把4?4矩陣鍵盤的鍵值利用數(shù)碼管顯示出來。按鍵硬件電路圖如圖9-12所示。數(shù)碼管顯示電路參照圖9-1。理解矩陣鍵盤的結(jié)構(gòu)和工作原理。了解按鍵的去抖動方式。

21、,9.4.5 程序示例2,,9.4.6 知識總結(jié)——矩陣式鍵盤電路的結(jié)構(gòu)及原理,圖9-12所示為用單片機的P1口組成矩陣式鍵盤電路。圖中行線P1.4~P1.7為輸出狀態(tài)。列線為P1.0~P1.3,通過4個上拉電阻接+5V,處于輸入狀態(tài)。按鍵設(shè)置在行、列交點上,行、列線分別連接到按鍵開關(guān)的兩端。CPU通過讀取行線的狀態(tài),即可知道有無按鍵按下。當(dāng)鍵盤上沒有鍵閉合時,行、列線之間是斷開的,所有的行線輸入全部為高電平。當(dāng)鍵盤上某個鍵被按下

22、閉合時,則對應(yīng)的行線和列線短路,行線輸入即為列線輸出。此時若初始化所有的列線輸出為低電平,則通過檢查行線輸入值是否為全1即可判斷有無按鍵按下。方法如下:(1)判斷有無按鍵被按下。鍵被按下時,與此鍵相連的行線與列線將導(dǎo)通,而列線電平在無按鍵按下時處于高電平。顯然,如果讓所有的行線處于高電平,那么鍵按下與否都不會引起列線電平的狀態(tài)變化,所以只有讓所有行線處于低電平,當(dāng)有鍵按下時,按鍵所在列電平將被拉成低電平,根據(jù)此列電平的變化,便能判定

23、一定有按鍵被按下。(2)判斷按鍵是否真的被按下。當(dāng)判斷出有按鍵被按下之后,用軟件延時的方法延時5~10ms,再判斷鍵盤的狀態(tài),如果仍認(rèn)為有按鍵被按下,則認(rèn)為確實有鍵按下,否則,當(dāng)作鍵抖動來處理。(3)判斷哪一個按鍵被按下。當(dāng)判斷出哪一列中有鍵被按下時,可根據(jù)P1口的數(shù)值來確定哪一個鍵被按下。(4)等待按鍵釋放。鍵釋放之后,可以根據(jù)鍵碼轉(zhuǎn)入相應(yīng)的鍵處理子程序,進行數(shù)據(jù)的輸入或命令的處理。,9.4.7 知識總結(jié)——按鍵的去抖動

24、,目前,無論是按鍵或鍵盤大部分都是利用機械觸點的合、斷作用。由于彈性作用的影響,機械觸點在閉合及斷開瞬間均有抖動過程,從而使電壓信號也出現(xiàn)抖動,如圖9-13所示。抖動時間長短與開關(guān)機械特性有關(guān),一般為5~10ms。通常去抖動影響的方法有硬軟件兩種。比較常用的是軟件方法去抖動,即檢測出鍵閉合后執(zhí)行一個延時程序產(chǎn)生5~10ms的延時,等前沿抖動消失后再一次檢測鍵的狀態(tài),如果仍保持閉合狀態(tài)電平則確認(rèn)為真正有鍵按下。當(dāng)檢測到按鍵釋放后,也要

25、給5ms~10ms的延時,待后沿抖動消失后才能轉(zhuǎn)入該鍵的處理程序,從而去除了抖動影響。,9.5 串行A/D轉(zhuǎn)換接口芯片TLC549,TLC549 (TLC548)是TI公司生產(chǎn)的一種低價位、高性能的8位A/D轉(zhuǎn)換器,它以8位開關(guān)電容逐次逼近的方法實現(xiàn)A/D轉(zhuǎn)換,其轉(zhuǎn)換速度小于17?s,它能方便地采用三線串行接口方式與各種微處理器連接,構(gòu)成各種廉價的測控應(yīng)用系統(tǒng)。 9.5.1 案例介紹及知識要點9.5.2 程序示例9.5.3

26、 知識總結(jié)——主要特性9.5.4 知識總結(jié)——內(nèi)部結(jié)構(gòu)和引腳9.5.5 知識總結(jié)——TLC549的工作時序,9.5.1 案例介紹及知識要點,利用TLC549對電位器的電壓值進行采樣,讀取采樣值并通過數(shù)碼管顯示出來。原理圖如圖9-14所示。了解TLC549的主要特性、結(jié)構(gòu)及引腳。了解TLC549的工作時序,并能根據(jù)時序?qū)懗鯝D采樣程序。,9.5.2 程序示例,,9.5.3 知識總結(jié)——主要特性,8位分辨率A/D轉(zhuǎn)換器,總

27、不可調(diào)整誤差≤±0.5LSB。 采用三線串行方式與微處理器相連。片內(nèi)提供4MHZ內(nèi)部系統(tǒng)時鐘,并與操作控制用的外部I/O CLCCK相互獨立。有片內(nèi)采樣保持電路,轉(zhuǎn)換時間≤17?s,包括存取與轉(zhuǎn)換時間,轉(zhuǎn)換速率達40 000次/秒。差分高阻抗基準(zhǔn)電壓輸入,其范圍是:1V≤差分基準(zhǔn)電壓≤VCC+0.2V。寬電源范圍:3~6.5V,低功耗,當(dāng)片選信號為低,芯片選中處于工作狀態(tài)時,功耗非常低。,9.5.4 知識總結(jié)——

28、內(nèi)部結(jié)構(gòu)和引腳,TLC各引腳功能如下。(1)REF+:正基準(zhǔn)電壓輸入端,2.5V≤REF+≤VCC+0.1。(2)REF-:負(fù)基準(zhǔn)電壓輸入端,-0.1V≤REF-≤2.5V,且要求REF+ - REF-≥1V。(3)ANALOG IN:模擬信號輸入端,0≤ANALOG IN≤VCC,當(dāng)ANALOG IN≥REF+電壓時,轉(zhuǎn)換結(jié)果為全1(FFH),ANALOG IN≤REF-電壓時,轉(zhuǎn)換結(jié)果為全0(00H)。(4)GND:

29、接地線。(5):芯片選擇輸入端,要求輸入高電平VIN≥2V,輸入低電平VIN≤0.8V。(6)DATA OUT:轉(zhuǎn)換結(jié)果數(shù)據(jù)串行輸出端,與TTL電平兼容,輸出時高位在前,低位在后。(7)I/O CLOCK:外接輸入/輸出時鐘輸入端,不同于同步芯片的輸入輸出操作,無需與芯片內(nèi)部系統(tǒng)時鐘同步。(8)VCC:系統(tǒng)電源3V≤VCC≤6V。,9.5.5 知識總結(jié)——TLC549的工作時序,圖9-16中當(dāng)CS變?yōu)榈碗娖胶?,TLC5

30、49芯片被選中,同時前次轉(zhuǎn)換結(jié)果的最高有效位MSB(A7)自DATA OUT端輸出,接著要求自I/O CLOCK端輸入8個外部時鐘信號,前7個I/O CLOCK信號的作用,是配合TLC549輸出前次轉(zhuǎn)換結(jié)果的A6~A0 7位,并為本次轉(zhuǎn)換做準(zhǔn)備。在第4個I/O CLOCK信號由高至低的跳變之后,片內(nèi)采樣/保持電路對輸入模擬量采樣開始,第8個I/O CLOCK信號的下降沿使片內(nèi)采樣/保持電路進入保持狀態(tài)并啟動A/D開始轉(zhuǎn)換。轉(zhuǎn)換時間為36

31、個系統(tǒng)時鐘周期,最大為17?s。直到A/D轉(zhuǎn)換完成前的這段時間內(nèi),TLC549的控制邏輯要求:或者保持高電平,或者I/O CLOCK時鐘端保持36個系統(tǒng)時鐘周期的低電平。,9.6 串行D/A轉(zhuǎn)換接口芯片MAX517,MAX517是MAXIM公司生產(chǎn)的8位電壓輸出型DAC數(shù)模轉(zhuǎn)換器,它帶有總線接口,允許多個設(shè)備之間進行通訊。9.6.1 案例介紹及知識要點9.6.2 程序示例9.6.3 知識總結(jié)——MAX517的工作原理,9.

32、6.1 案例介紹及知識要點,將單片機發(fā)出的數(shù)字量經(jīng)MAX517轉(zhuǎn)換成模擬量,同時利用數(shù)碼管顯示要轉(zhuǎn)換的數(shù)字量,轉(zhuǎn)化后的模擬量可以用數(shù)字表測量出來,然后對比前后結(jié)果。DA接口電路圖如圖9-17所示。顯示電路參考圖9-1。了解MAX517的主要特性、結(jié)構(gòu)及引腳。了解MAX517的工作時序,并能根據(jù)時序?qū)懗龀跏蓟绦颉?9.6.2 程序示例,,9.6.3 知識總結(jié)——MAX517的工作原理,1.MAX517的性能簡介(1)單獨5

33、V電源供電。(2)簡單的雙線接口。(3)與I2C總線兼容。(4)輸出緩沖放大雙極性工作方式。(5)基準(zhǔn)輸入可為雙極性。(6)上電復(fù)位將所有閉鎖清零。 (7)4?A掉電模式。(8)總線上可掛4個器件(通過AD0和AD1選擇)。2.MAX517各管腳說明1腳(OUT):D/A轉(zhuǎn)換輸出端。2腳(GND):接地。3腳(SCL):時鐘總線。4腳(SDA):數(shù)據(jù)總線。5、6腳(AD1和AD0):用于選擇哪個D

34、/A通道的轉(zhuǎn)換輸出。由于MAX517只有一個D/A所以使用時,這兩個引腳通常接地。7腳(VCC):電源。8腳(REF):參考。3.MAX517的工作時序。圖9-19所示MAX517的一個地址字節(jié)格式如表9-4所示。MAX517的控制字節(jié)格式如表9-5所示。,9.7 直流電機控制電路,直流電動機就是將直流電能轉(zhuǎn)換成機械能的電機。直流電動機是由定子與轉(zhuǎn)子兩部分構(gòu)成的。定子包括:主磁極、機座、換向極和電刷裝置等。轉(zhuǎn)子包括:電樞鐵芯

35、、電樞繞組、換向器、軸和風(fēng)扇等。 9.7.1 案例介紹及知識要點9.7.2 程序示例9.7.3 知識總結(jié)——直流電機的特點及原理,9.7.1 案例介紹及知識要點,利用繼電器控制直流電動機的正反轉(zhuǎn),同時可以實現(xiàn)轉(zhuǎn)速的控制。硬件設(shè)計如圖9-20所示。了解直流電機的特點。簡單理解直流電機的工作原理。掌握直流電機控制電路的設(shè)計方法。,9.7.2 程序示例,,9.7.3 知識總結(jié)——直流電機的特點及原理,1.直流電動機的特

36、點(1)調(diào)速性能好。(2)起動力矩大。2.直流電動機的工作原理,9.8 步進電機的控制,步進電機是機電數(shù)字控制系統(tǒng)中常用的執(zhí)行元件之一。由于其精度高、體積小、控制方便靈活,在智能儀表和位置控制中得到了廣泛應(yīng)用。大規(guī)模集成電路的發(fā)展及單片機技術(shù)的迅速普及,為設(shè)計功能強、價格低的步進電動機控制驅(qū)動器提供了先進的技術(shù)和充足的資源。本節(jié)以永磁步進電機為例介紹步進電動機控制器的設(shè)計。9.8.1 案例介紹及知識要點9.8.2 程

37、序示例9.8.3 知識總結(jié)——步進電機的結(jié)構(gòu)及原理,9.8.1 案例介紹及知識要點,利用單片機控制步進電機正反轉(zhuǎn),調(diào)節(jié)速度。控制系統(tǒng)由AT89S52單片機、斯密特反相器74HC14、達林頓管陣列驅(qū)動芯片ULN2003和人機接口部分組成,如圖9-22所示。(人機接口電路只是增加了3個按鍵,按鍵的功能在程序流程圖中已給出)。相關(guān)的關(guān)鍵部分器件名稱及其在電路中的主要功能如下。(1)AT89S52:完成步進電動機的控制方式、狀態(tài)監(jiān)測。

38、(2)ULN2003:驅(qū)動步進電機。(3)74HC14:斯密特反相器。,9.8.2 程序示例,步進電動機的控制程序能夠根據(jù)鍵盤的設(shè)定改變電動機的轉(zhuǎn)動方向和轉(zhuǎn)動步數(shù)。根據(jù)步進電動機與單片機的接口和有效電平方式,輸出控制字。表9-6提供了步進電動機的通電順序和控制方式字。若通電方向相反,電動機反轉(zhuǎn)。,9.8.3 知識總結(jié)——步進電機的結(jié)構(gòu)及原理,步進電動機根據(jù)工作原理分為反應(yīng)式、永磁式和永磁感應(yīng)式3類。以永磁式步進電動機為例,介

39、紹步進電動機基本結(jié)構(gòu)和工作原理。永磁式步進電動機的轉(zhuǎn)子是用永磁材料制成的,轉(zhuǎn)子本身就是一個磁源,它的輸出轉(zhuǎn)矩大,倒臺性能好。斷電時有定位轉(zhuǎn)矩,消耗功率較低;轉(zhuǎn)子的級數(shù)與定子的級數(shù)相同,所以步矩角較大,啟動和運行頻率較低,并需要正負(fù)脈沖信號。但如果為其相應(yīng)的相序加上反向繞組,就不需要負(fù)脈沖。永磁式步進電動機有4相:A、B、C、D。工作方式有如下幾種。單四拍:即A-B-C-D順序通電。雙四拍:即AB-BC-CD-DA順序通電。八拍:

40、即AA-BB-CC-DD順序通電。四相步進電動機工作方式的電源通電時序與波形如圖9-24(a)、(b)、(c)所示。,9.9 紅外遙控電路,紅外線遙控是目前使用最廣泛的一種通信和遙控手段。由于紅外線遙控裝置具有體積小、功耗低、功能強和成本低等特點,因而,繼彩電、錄像機之后,在錄音機、音響設(shè)備、空調(diào)機,以及玩具等其他小型電器裝置上也紛紛采用紅外線遙控。工業(yè)設(shè)備中,在高壓、輻射、有毒氣體和粉塵等環(huán)境下,采用紅外線遙控不僅完全可靠而且能有

41、效地隔離電氣干擾。9.9.1 案例介紹及知識要點9.9.2 程序示例9.9.3 知識總結(jié)——紅外遙控器的發(fā)射與接收原理9.9.4 知識總結(jié)——紅外遙控的系統(tǒng)實現(xiàn),9.9.1 案例介紹及知識要點,電路圖如圖9-25所示。51單片機作為接收控制中心,接收頭HS0038將紅外遙控器信號經(jīng)過放大和濾波后,從38kHz的調(diào)制信號中解調(diào)出來,以電壓方波的形式送到單片機的中斷口。了解紅外遙控器發(fā)射與接收原理。了解紅外遙控的系統(tǒng)實

42、現(xiàn)。,9.9.2 程序示例,這里設(shè)計的軟件,通過對碼組間隔的校驗防止接收不完全相同的碼組;由于可能有多個遙控器同時使用,通過用戶碼的校驗避免其他遙控器的干擾。通風(fēng)對操作碼與其反碼的校驗確保所接收到的是正確的操作碼。軟件流程圖如圖9-26所示。,9.9.3 知識總結(jié)——紅外遙控器的發(fā)射與接收原理,紅外遙控系統(tǒng)一般分為發(fā)射和接收兩個部分。1.發(fā)射部分紅外遙控器由遙控編碼電路、鍵盤電路、放大器,以及紅外發(fā)光二極管等主要部分組成。當(dāng)鍵盤

43、有按鍵按下時,遙控編碼電路通過鍵盤行列掃描獲得所按鍵的鍵值,鍵值通過編碼得到一串鍵值代碼,用編碼脈沖去調(diào)制30~50kHz(多為38kHz或40kHz)的載波信號,放大后通過發(fā)光二極管發(fā)射出去。2.接收部分接收原理如圖9-27所示。,9.9.4 知識總結(jié)——紅外遙控的系統(tǒng)實現(xiàn),1.發(fā)射部分 2.接收部分,習(xí) 題,1.簡述7位數(shù)碼管靜態(tài)和動態(tài)顯示的原理。2.描述LED點陣顯示原理,試給出單片機控制16?16的點陣的方案,要

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論