2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩35頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、<p> 多 功 能 數(shù) 字 鐘 的 設(shè) 計 熊 保 軍 焦作大學(xué)機電工程學(xué)院中圖分類號:TP273 多功能數(shù)字鐘的設(shè)計</p><p> 中圖分類號:TP273 密級:公開UDC:

2、 單位代碼:11522多功能數(shù)字鐘的設(shè)計Multi-function Digital Clock Design</p><p><b>  摘 要</b></p><p>  數(shù)字鐘是一種用數(shù)字電路技術(shù)實現(xiàn)時、分、秒計時的裝置,與機械式時鐘相比具有更高的準(zhǔn)確性和直觀性,且無機械裝置,具有更長的使用壽命,廣泛用于個人家庭,車

3、站, 碼頭,辦公室等公共場所,成為人們?nèi)粘I钪胁豢缮俚谋匦杵?。本系統(tǒng)進(jìn)行了各單元的設(shè)計和總體調(diào)試,可以完成準(zhǔn)確的完成計時、定時和仿電臺報時功能。電路由多諧振蕩器、分頻器、計數(shù)器、譯碼顯示器和校時電路以及定時電路組成。總體方案設(shè)計由主體電路和擴展電路兩大部分組成。本電路具有走時精度高,穩(wěn)定性好,使用方便,不需要經(jīng)常進(jìn)行調(diào)校等特點。關(guān)鍵詞:555 定時器;多諧振蕩器;分頻器;計數(shù)器;數(shù)字鐘</p><p><

4、;b>  Abstract</b></p><p>  Digital Clock is a digital circuit technology with the hours,minutes,</p><p>  seconds, timing devices, as compared with the mechanical clock has a higher acc

5、uracy and intuitive, and no mechanical device has a longer service life, widely used in individual families, stations, terminals, offices and other public places, become indispensable in daily life necessities. This sys

6、tem has been the design of each unit and the overall debugging, complete and accurate completion of timing, timing, and imitation radio timekeeping function. Circuit consists of multi-harmonic oscillat</p><p&g

7、t;  Key words: 555 timer; multivibrator; divider; counter;Digital Clock</p><p><b>  目 錄</b></p><p>  1.緒論............................................................................

8、................................................(1)</p><p>  1.1概述.......................................................................................................................(1)</p><p&g

9、t;  1.2設(shè)計任務(wù)............................................................................................................(2)</p><p>  1.3功能要求....................................................................

10、........................................(2)</p><p>  2.電路設(shè)計...................................................................................................................(4)</p><p>  2.1設(shè)計方案

11、............................................................................................................(4)</p><p>  2.2單元電路的設(shè)計............................................................................

12、....................(4)</p><p>  2.2.1主體電路部分.............................................................................................(5)</p><p>  2.2.1.1振蕩電路.................................

13、.............................................................(5)</p><p>  2.2.1.2計數(shù)電路..............................................................................................(8)</p><p>  2.

14、2.1.3校時電路............................................................................................(12)</p><p>  2.2.1.4譯碼與顯示電路..............................................................................

15、..(14)</p><p>  2.2.2 擴展功能電路的設(shè)計................................................................................(15)</p><p>  2.2.2.1 定時控制電路.......................................................

16、............................(15)</p><p>  2.2.2.2 仿廣播電臺正點報時電路.................................................................(17)</p><p>  2.2.2.3 自動報整點時數(shù)電路.....................................

17、.....................................(17)</p><p>  2.2.2.4 觸摸報整點時數(shù)電路..........................................................................(19)</p><p>  3.調(diào)試..................................

18、..........................................................................................(21)</p><p>  3.1主體電路部分..............................................................................................

19、.......(21)</p><p>  3.2 擴展電路部分....................................................................................................(22)</p><p>  4 總結(jié).............................................

20、..............................................................................(24)</p><p>  參考文獻(xiàn)...............................................................................................................

21、........(25)</p><p>  附錄...............................................................................................................................(26)</p><p>  謝辭...........................

22、....................................................................................................(30)</p><p><b>  1 緒論</b></p><p><b>  1.1 概述</b></p><p> 

23、 中國是世界上最早發(fā)明計時儀器的國家。有史料記載,漢武帝太初年間(紀(jì)元前104-101年)由落下閎創(chuàng)造了我國最早的表示天體運行的儀器——渾天儀。東漢時期(公元130年)張衡創(chuàng)造了水運渾天儀,為世界上最早的以水為動力的觀測天象的機械計時器,是世界機械天文鐘的先驅(qū)。盛唐時代,公元725年張遂(又稱一行)和梁令瓚等人創(chuàng)制了水運渾天銅儀,它不但能演示天球和日、月的運動,而且立了兩個木人,按時擊鼓,按時打鐘。第一個機械鐘的靈魂——擒縱器用于計時器

24、,這是中國科學(xué)家對人類計時科學(xué)的偉大貢獻(xiàn)。它比十四世紀(jì)歐洲出現(xiàn)的機械鐘先行了六個世紀(jì)。</p><p>  第一只石英鐘出現(xiàn)在二十世紀(jì)二十年代,從三十年代開始得到了推廣,從六十年代開始,由于應(yīng)用半導(dǎo)體技術(shù),成功地解決了制造日用石英鐘問題,石英電子技術(shù)在計時領(lǐng)域得到了廣泛的應(yīng)用。并取代機械鐘做了更精確的時間標(biāo)準(zhǔn)。早在1880年,法國人皮埃爾·居里和保羅·雅克·居里就發(fā)現(xiàn)了石英晶體有壓電

25、的特性,這是制造鐘表“心臟”的良好材料。科學(xué)家以石英晶體制成的振蕩計時器和電子鐘組合制成了石英鐘。經(jīng)過測試,一只高精度的石英鐘表,每年的誤差僅為 3~5秒。1942年,著名的英國格林尼治天文臺也開始采用了石英鐘作為計時工具。在許多場合,它還經(jīng)常被列為頻率的基本標(biāo)準(zhǔn),用于日常測量與檢測。大約在 1970 年前后,石英鐘表開始進(jìn)入市場,風(fēng)靡全球。隨著科學(xué)的進(jìn)步,精密的電子元件不斷涌現(xiàn),石英鐘表也開始變得小巧精致,它既是實用品,也是裝飾品。它

26、為人們的生活提供方便,更為人們的生活增添了新的色彩。 在現(xiàn)行情況下根據(jù)簡單實用強的、走時準(zhǔn)確進(jìn)行設(shè)計。而實驗證明,鐘表的振蕩部分采用石英晶體作為時基信號源時,走時更精確、調(diào)整更方便。鐘是一種計時的器具,它的出現(xiàn)開拓了時間計量的新里程。提起時鐘大家都很熟悉,它是給我們指明時間的一種計</p><p>  (1)從生產(chǎn)機械表轉(zhuǎn)為石英電子表; </p><p> ?。?)曾占據(jù)中國消費

27、市場四十多年的大型國有企業(yè)突然被剛剛冒起的“組業(yè)”所取代,鐘表生產(chǎn)中心轉(zhuǎn)向中國南方沿海一帶;</p><p> ?。?)中國鐘表業(yè)發(fā)展從以機芯為龍頭改為以手表外觀件為龍頭。</p><p>  這場轉(zhuǎn)折以迅雷不及掩耳的速度,沖擊著傳統(tǒng)的中國鐘表工業(yè)。中國的鐘表業(yè)從</p><p>  技術(shù)簡單、零件少的石英鐘機芯制造入手。最初石英鐘機芯全靠從日本、德國進(jìn)口,1989

28、年開始完全自己生產(chǎn),包括模具的制造加工。近十余年,逐漸提高機芯質(zhì)量的穩(wěn)定性,同時轉(zhuǎn)向?qū)κ直頇C芯研制與開發(fā)。目前石英鐘表機芯生產(chǎn)主要在福建省福州、廣東東莞、番禺;機械鐘表機芯在上海、山東等地。</p><p>  現(xiàn)在我國的電子業(yè)發(fā)展非??焖?,電子業(yè)的發(fā)展有利于鐘表業(yè)的發(fā)展。在中國鐘表發(fā)展史上,國產(chǎn)機芯研制的失敗已經(jīng)成為過去,“組裝業(yè)”作為新興鐘表工業(yè)的起步階段也已成為過去。一支新的充滿智慧的鐘表精英在成長。<

29、;/p><p>  我們相信在科技高速發(fā)展的今天,鐘表業(yè)運用當(dāng)今材料工業(yè)、電子工業(yè)和其他領(lǐng)域的最新技術(shù),一定會生產(chǎn)出代表中國科學(xué)水平的產(chǎn)品。我們希望鐘表業(yè)的精英們在提高制造技術(shù)水平中不斷創(chuàng)新,培育出擁有自主知識產(chǎn)權(quán)的品牌。這正是中國鐘表業(yè)發(fā)展的希望。</p><p>  數(shù)字鐘被廣泛用于個人家庭,車站, 碼頭、辦公室等公共場所,成為人們?nèi)粘I钪械谋匦杵贰S捎跀?shù)字集成電路的發(fā)展和石英晶體振蕩器

30、的廣泛應(yīng)用,使得數(shù)字鐘的精度,運用超過老式鐘表, 鐘表的數(shù)字化給人們生產(chǎn)生活帶來了極大的方便,而且大大地擴展了鐘表原先的報時功能。諸如定時自動報警、按時自動打鈴、時間程序自動控制、定時廣播、自動起閉路燈、定時開關(guān)烘箱、通斷動力設(shè)備、甚至各種定時電氣的自動啟用等,所有這些,都是以鐘表數(shù)字化為基礎(chǔ)的。因此,研究數(shù)字鐘及擴大其應(yīng)用,有著非?,F(xiàn)實的意義。</p><p><b>  1.2 設(shè)計任務(wù)</b

31、></p><p>  設(shè)計一種多功能數(shù)字鐘,該數(shù)字鐘具有基本功能和擴展功能兩部分。其中,基本功能部分的有準(zhǔn)確計時,以數(shù)字形式顯示時、分、秒的時間和校時功能。擴展功能部分則具有:定時控制、仿廣播電臺正點報時、自動報整點時數(shù)和觸摸報正點的功能。數(shù)字鐘的電路也是由主體電路和擴展電路兩部分構(gòu)成,在電路中,基本功能部分由主體電路實現(xiàn),而擴展功能部分則由擴展電路實現(xiàn)。這兩部分都有一個共同特點就是它們都要用到振蕩電路提

32、供的1Hz脈沖信號。在計時出現(xiàn)誤差時電路還可以進(jìn)行校時和校分,為了使電路簡單所設(shè)計的電路不具備校秒的功能。并且要用數(shù)碼管顯示時、分、秒,各位均為兩為顯示,擴展部分要有相應(yīng)的響應(yīng)電路。</p><p><b>  1.3 功能要求</b></p><p><b>  基本功能</b></p><p> ?。?)時的計時要求為

33、“12翻1”,分和秒的計時要求為60進(jìn)制</p><p> ?。?)準(zhǔn)確計時,以數(shù)字形式顯示時,分,秒的時間</p><p><b> ?。?)校正時間</b></p><p><b>  擴展功能</b></p><p><b> ?。?)定時控制;</b></p>

34、;<p> ?。?)仿廣播電臺報時功能;</p><p> ?。?)自動報整點時數(shù);</p><p> ?。?)觸摸報整點時數(shù);</p><p><b>  2 電路設(shè)計</b></p><p><b>  2.1設(shè)計方案</b></p><p>  根據(jù)設(shè)計要

35、求首先建立了一個多功能 數(shù)字鐘電路系統(tǒng)的組成框圖,</p><p><b>  框圖如圖2-1所示</b></p><p><b>  觸摸報時數(shù)</b></p><p><b>  1s</b></p><p><b>  主體電路擴展電路</b>&l

36、t;/p><p>  圖2-1多功能數(shù)字鐘電路系統(tǒng)的組成框圖</p><p>  Multi-function digital clock circuit diagram of system components</p><p>  由圖1可知,電路的工作原理是:多功能數(shù)字鐘電路由主體電路和擴展電路兩大部分組成。其中主體電路完成數(shù)字鐘的基本功能,擴展電路完成數(shù)字鐘的擴展

37、功能。</p><p>  振蕩器產(chǎn)生的高脈沖信號作為數(shù)字鐘的振源,再經(jīng)分頻器輸出標(biāo)準(zhǔn)秒脈沖。秒計數(shù)器計滿60后向分計數(shù)器個位進(jìn)位,分計數(shù)器計滿60后向小時計數(shù)器個位進(jìn)位并且小時計數(shù)器按照“12翻1”的規(guī)律計數(shù)。計數(shù)器的輸出經(jīng)譯碼器送顯示器。計時出現(xiàn)誤差時電路進(jìn)行校時、校分、校秒。擴展電路必須在主體電路正常運行的情況下才能進(jìn)行擴展功能。</p><p>  2.2單元電路的設(shè)計</p

38、><p>  數(shù)字電子鐘的設(shè)計方法很多種,例如,可用中小規(guī)模集成電路組成電子鐘;也可以利用專用的電子鐘芯片配以顯示電路及其所需要的外圍電路組成電子鐘;還可以利用單片機來實現(xiàn)電子鐘等。</p><p>  在本次設(shè)計,電路是由許多單元電路組成的,因此首先必須對各個單元電路</p><p><b>  進(jìn)行設(shè)計。</b></p><

39、p>  2.2.1 主體電路部分</p><p>  主體電路部分的電路主要由振蕩電路、計數(shù)電路、顯示電路以及校時電路四大部分組成。下面將對各部分電路進(jìn)行設(shè)計。</p><p>  2.2.1.1 振蕩電路 </p><p>  振蕩電路由振蕩器和分頻器產(chǎn)生 1Hz時鐘脈沖和擴展部分所需的頻率,下面對振蕩器和分頻器兩部分進(jìn)行介紹。</p>&l

40、t;p><b>  振蕩器</b></p><p>  數(shù)字電路中的時鐘是由振蕩器產(chǎn)生的,振蕩器是數(shù)字鐘的核心。振蕩器的穩(wěn)定度及頻率的精度決定了數(shù)字鐘計時的準(zhǔn)確程度,一般來說,振蕩器的頻率越高,計時精度越高。它利用某種反饋方式產(chǎn)生時鐘信號。對數(shù)字電路來說,振蕩器的輸出的幅度范圍為0v—5v的方波信號而不是鋸齒波、三角波或其他形式。典型的振蕩器是弛豫振蕩器,它通過一個RC網(wǎng)絡(luò)將反相器的輸

41、出反饋回來并存在一定的工作延遲時間?;娐繁镜娜鐖D2-2所示。</p><p>  圖2-2 振蕩器反饋電路</p><p>  Oscillator feedback circuit</p><p>  在上述電路中,RI-C網(wǎng)絡(luò)由第一個反相器驅(qū)動,具有RC特性曲線的響應(yīng)信號被反饋給反相器的輸入。當(dāng)電容上的電壓達(dá)到施密特觸發(fā)器輸入反相器的門限電壓的時候,反相器的狀

42、態(tài)發(fā)生改變,并輸出一個新的電壓值。這個輸出電壓經(jīng)過一定的延遲時間再次通過RI—C反饋回來,直到電容電壓再次達(dá)到門限電壓為止。</p><p>  用施密特觸發(fā)器輸入器件(如74HC04),但是由于電容的參考電壓在每個臨界點都要發(fā)生變化,所以施密特觸發(fā)器不是必需的。由于電容與輸出相連,每次狀態(tài)改變時,電容的充電電壓會超過5V。從這一點來說,輸出電壓會改變電容的充電電壓,直到電容兩端的電壓變?yōu)?4HC04的門限電壓(

43、2.5V)為止。振蕩器輸出狀態(tài)的改變發(fā)生在電容上的電壓達(dá)到2.5V時。</p><p>  弛豫振蕩器對許多低成本而精度要求又不高的場所非常適合,但是并不推薦在任何有精度要求的實際應(yīng)用電路采用它。</p><p>  如果想要獲得高的精度,就應(yīng)該在振蕩電路中使用石英晶體作振源。在數(shù)字鐘的設(shè)計與制作中應(yīng)采用石英晶體振蕩器,因為石英晶體具有壓電效應(yīng),是一個壓電器件。當(dāng)交流電壓加在晶體兩端,晶體

44、先隨電壓變化產(chǎn)生對應(yīng)的變化,然后機械振動又使晶體表面產(chǎn)生交變電荷。當(dāng)晶體幾何尺寸和結(jié)構(gòu)一定時,它本生有一個固定的機械頻率。當(dāng)外加交流電壓的頻率等于晶體的固有頻率時,晶體片的機械振動最大,晶體表面電荷量最多,外電路的交流電流最強,于是產(chǎn)生振蕩,因此將石英晶體按一定方位切割成片,兩邊傅以電極,焊上引線,再用金屬或玻璃外殼封裝即構(gòu)成石英晶體。石英晶體的固有頻率十分穩(wěn)定。另外石英晶體的振動具有多諧性,除了基頻振動外,還有奇次諧次泛音振動,對于石

45、英晶體,既可利用基頻振動,也可利用泛音振動。前者稱為基頻晶體,后者稱為泛音晶體,晶片厚度與振動頻率成反比,工作頻率越高,要求晶片厚度越薄。將石英晶體作為高Q值諧振回路元件接入反饋電路中,就組成了晶體振蕩器。在設(shè)計中所用的振蕩器的電路圖如圖2-3所示。該電路能產(chǎn)生1MHz的方波脈沖振蕩信號。</p><p>  圖2-3 振蕩器的電路圖</p><p>  Oscillator circui

46、t</p><p><b> ?。?)分頻器</b></p><p>  分頻器的作用是將由石英晶體產(chǎn)生的高頻信號分頻成基時鐘脈沖信號和擴展部分所需的頻率。在此電路中,分頻器的功能主要有兩個:一是產(chǎn)生標(biāo)準(zhǔn)脈沖信號;二是功能擴展電路所需的信號,如仿電臺用的1KHz的高頻信號和500Hz的低頻信號等.在此電路中作為分頻器的元件是:CD4518。</p>&l

47、t;p>  CD4518可以組成二分頻電路和十分頻電路。用CD4518組成二分頻的電路如圖2-4;用CD4518組成十分頻的電路如圖2-5;在本次設(shè)計中所用的分頻器的電路圖如圖2-6。電路經(jīng)過十分頻后將晶振來的1MHz的振蕩脈沖變?yōu)?Hz的脈沖信號,該信號作為計數(shù)器的計數(shù)脈沖使用。</p><p>  輸入 輸 出

48、 </p><p>  輸入 輸入 輸 出</p><p><b>  清零</b></p><p>  圖2-4 CD4518組成二分頻的電路 圖2-5 CD4518組成十分頻的電路<

49、/p><p>  CD4518 divider circuit composed of two CD4518 circuit composed of a very frequent</p><p>  圖2-6 分頻器的電路圖</p><p>  Divider circuit</p><p>  表2.1 CD4518的功能表<

50、;/p><p>  CD4518 menu</p><p>  輸入 輸出</p><p>  CP CR EN</p><p>  上升沿 L H 加計數(shù)</p><p>  L L 上升沿 加計數(shù)</p><

51、;p>  下降沿 L X 保</p><p>  X L 上升沿</p><p>  上升沿 L L 持</p><p>  H L 下降沿</p><p>  X H X 全為L</

52、p><p>  振蕩器和分頻器兩部分構(gòu)成振蕩電路,它的電路圖如圖2-7所示。</p><p>  根據(jù)圖2-7可知電路的工作原理是:石英晶體振蕩器提供的頻率為1MHz,CD4518組成十分頻電路。并且一個 CD4518可以組成兩個十分頻電路即:CD4518的引腳2與引腳6組成一個十分頻電路而引腳10與引腳14組成另一個十分頻電路。晶振的輸出接入第一塊CD4518的輸入引腳2,經(jīng)過一次十分頻,頻

53、率變?yōu)?00KHz。輸出引腳6接入同一塊CD4518的引腳10經(jīng)第二次分頻,頻率變?yōu)?0KHz。輸出引腳接人第二塊CD4518的輸入引腳2再經(jīng)一次分頻,頻率變?yōu)?KHz。這樣經(jīng)過六次分頻最后可以得到1Hz的頻率。</p><p>  圖2-7 振蕩器和分頻器構(gòu)成的振蕩電路</p><p>  Oscillation oscillator and divider circuit compos

54、ed of</p><p>  2.2.1.2 計數(shù)電路</p><p>  計數(shù)器是一種計算輸入脈沖的時序邏輯網(wǎng)絡(luò),被計數(shù)的輸入信號就是時序網(wǎng)絡(luò)的時鐘脈沖,它不僅可以計數(shù)而且還可以用來完成其他特定的邏輯功能,如測量、定時控制、數(shù)字運算等等。</p><p>  數(shù)字鐘的計數(shù)電路是用兩個六十進(jìn)制計數(shù)電路和“12翻1”計數(shù)電路實現(xiàn)的。數(shù)字鐘的計數(shù)電路的設(shè)計可以用反饋清

55、零法。當(dāng)計數(shù)器正常計數(shù)時,反饋門不起作用,只有當(dāng)進(jìn)位脈沖到來時,反饋信號將計數(shù)電路清零,實現(xiàn)相應(yīng)模的循環(huán)計數(shù)。以六十進(jìn)制為例,當(dāng)計數(shù)器從00,01,02,……,59計數(shù)時,反饋門不起作用,只有當(dāng)?shù)?0個秒脈沖到來時,反饋信號隨即將計數(shù)電路清零,實現(xiàn)模為60的循環(huán)計數(shù)。</p><p>  下面將分別介紹60進(jìn)制計數(shù)器和“12翻1”小時計數(shù)器。 </p><p> ?。ㄒ唬?0進(jìn)制計數(shù)器&l

56、t;/p><p><b>  電路如圖2-8所示</b></p><p>  圖2-8 60進(jìn)制計數(shù)器</p><p>  60 binary counter</p><p>  電路中,74LS92作為十位計數(shù)器,在電路中采用六進(jìn)制計數(shù);74LS90作為個位計數(shù)器在電路中采用十進(jìn)制計數(shù)。當(dāng)74LS90的14腳接振蕩電路的輸

57、出脈沖1Hz時74LS90開始工作,它計時到10時向十位計數(shù)器74LS92進(jìn)位。下面對電路中所用的主要元件及功能介紹。</p><p>  ① 十進(jìn)制計數(shù)器 74LS90 </p><p>  74LS90是二—五—十進(jìn)制計數(shù)器,它有兩個時鐘輸入端CPA和CPB。其中,CPA和組成一位二進(jìn)制計數(shù)器;CPB和組成五進(jìn)制計數(shù)器;若將與相連接,時鐘脈沖從輸入,則構(gòu)成了8421BCD碼十進(jìn)制計數(shù)器

58、。74LS90有兩個清零端R0(1)、R0(2),兩個置9端R9(1)和R9(2),其BCD碼十進(jìn)制計數(shù)時序如表2.2,二—五混合進(jìn)制計數(shù)時序如表2.3,74LS90的管腳圖如圖2-9。</p><p>  圖2-9十進(jìn)制計數(shù)器 74LS90 </p><p>  Decimal counter 74LS90</p><p>  表2.2 BCD碼十進(jìn)制計數(shù)時序

59、 表2.3 二—五混合進(jìn)制計數(shù)時序</p><p>  BCD code the decimal count of timing 2 - 5 mixed binary counting sequence</p><p>  CP CP </p><p>

60、;  0 0 0 0 0 0 0 0 0 0</p><p>  1 0 0 0 1 1 0 0 0 1</p><p>  2 0 0 1 0 2 0 0

61、 1 0</p><p>  3 0 0 1 1 3 0 0 1 1</p><p>  4 0 1 0 0 4 0 1 0 0</p><p>  5 0 1 0 1

62、 5 1 0 0 0</p><p>  6 0 1 1 0 6 1 0 0 1</p><p>  7 0 1 1 1 7 1 0 1 0</p><

63、p>  8 1 0 0 0 8 1 0 1 1</p><p>  9 1 0 0 1 9 1 1 0 0</p><p>  ② 異步計數(shù)器74LS92</p><p>  所謂異步計數(shù)器是指計數(shù)

64、器內(nèi)各觸發(fā)器的時鐘信號不是來自于同一外接輸入時鐘信號,因而觸發(fā)器不是同時翻轉(zhuǎn)。這種計數(shù)器的計數(shù)速度慢。一異步計數(shù)器 74LS92是 二—六—十二進(jìn)制計數(shù)器,即和組成二進(jìn)制計數(shù)器,和在74LS92中為六進(jìn)制計數(shù)器。當(dāng)和相連,時鐘脈沖從輸入,74LS92構(gòu)成十六進(jìn)制計數(shù)器。74LS92的管腳圖如圖2-10。</p><p>  圖2-10異步計數(shù)器74LS92</p><p>  Async

65、hronous counter 74LS92</p><p>  (二) “12翻1”小時計數(shù)器電路 </p><p> ?。?) 電路如圖2-11 所 示</p><p>  圖2-11 “12翻1”小時計數(shù)器電路</p><p>  "12 turning an" hour counter circuits</p

66、><p>  “12翻1”小時 計數(shù)器是按照“01—02—03—04—05—06—07—08—09—10—11—12—01”規(guī)律計數(shù)的,計數(shù)器的計數(shù)狀態(tài)轉(zhuǎn)換表如表2.4所示。</p><p>  表2.4 “12翻1”小時計時時序</p><p>  "12 turning an" hour time-sequential</p>&l

67、t;p> ?。ǘ╇娐返墓ぷ髟?lt;/p><p>  由表2.4可知:個位計數(shù)器由4位二進(jìn)制同步可逆計數(shù)器 74LS191構(gòu)成,十位計數(shù)器由雙D觸發(fā)器74LS74構(gòu)成 ,將它們組成 “12翻1”小時計數(shù)器。</p><p>  由表可知:計數(shù)器的狀態(tài)要發(fā)生 兩次跳躍:一是:計數(shù)器計到9,即個位計數(shù)器的狀態(tài)為 =1001后,在下一計數(shù)脈沖的作用下計數(shù)器進(jìn)入暫態(tài)1010,利用暫態(tài)的兩個1

68、即使個位異步置0,同時向十位計數(shù)器進(jìn)位使 =1;二是計數(shù)到12后,在第13個計數(shù)脈沖作用下個位計數(shù)器的狀態(tài)應(yīng)為 =0001,十位計數(shù)器的 =0。第二次跳躍的十位清“0”和個位置“1”的輸出端、、來產(chǎn)生。對電路中所用的主要元件及功能介紹。</p><p>  ① D觸發(fā)器74LS74</p><p>  在電路中用到了D觸發(fā)器74LS74,74LS74的管腳圖如圖2-12。</p>

69、;<p>  圖2-12 D觸發(fā)器74LS74</p><p>  D flip-flop 74LS74</p><p>  下面將介紹一些有關(guān) 觸 發(fā) 器的內(nèi)容:</p><p>  觸發(fā)器,它是由門電路構(gòu)成的邏輯電路,它的輸出具有兩個穩(wěn)定的物理狀態(tài)(高電平和低電平),所以它能記憶一位二進(jìn)制代碼。觸發(fā)器是存放在二進(jìn)制信息的最基本的單元。按其

70、功能可為基本RS觸發(fā)器觸、JK觸發(fā)器、D觸發(fā)器和T觸發(fā)器。</p><p>  這幾種觸發(fā)器都有集成電路產(chǎn)品。其中應(yīng)用最廣泛的當(dāng)數(shù)JK觸發(fā)器和D觸發(fā)器。不過,深刻理解RS觸發(fā)器對全面掌握觸發(fā)器的工作方式或動作特點是至關(guān)重要的。事實上,JK觸發(fā)器和D觸發(fā)器是RS觸發(fā)器的改進(jìn)型,其中JK觸發(fā)器保留了兩個數(shù)據(jù)輸入端,而D觸發(fā)器只保留了一個數(shù)據(jù)輸入端。D觸發(fā)器有邊沿D觸發(fā)器和高電平D觸發(fā)器。74LS74為一個電平D觸發(fā)器

71、。</p><p> ?、?計數(shù)器74LS191 </p><p>  74LS191的管腳圖如圖2-13 </p><p>  圖2-13 74LS191的管腳圖</p><p>  74LS191 pin diagram</p><p>  2.2.1.3 校時電路</p><p> ?。ㄒ?/p>

72、)電路如圖2-14 所示</p><p><b>  圖2-14校時電路</b></p><p>  School time the circuit</p><p> ?。ǘ╇娐返墓ぷ髟?lt;/p><p>  校時電路的作用是:當(dāng)數(shù)字鐘接通電源或者出現(xiàn)誤差時,校正時間。校時是數(shù)字鐘應(yīng)具有的基本功能。一般電子表都具有時、

73、分、秒等校時功能。為了使電路簡單,在此設(shè)計中只進(jìn)行分和小時的校時。校時有“快校時”和“慢校時”兩種,“快校時”是通過開關(guān)控制,使計數(shù)器對1Hz校時脈沖計數(shù)?!奥r”是用手動產(chǎn)生單脈沖作校時脈沖。圖中S1校分用的控制開關(guān),S2為校秒用的控制開關(guān),它們的控制功能如表2.5所示,校時脈沖采用分頻器輸出的1Hz脈沖,當(dāng)S1或S2分別為“0”時可以進(jìn)行“快校時”。如果校時脈沖由單次脈沖產(chǎn)生器提供,則可以進(jìn)行“慢校時”。 </p&g

74、t;<p>  表2.5校時開關(guān)的功能</p><p>  Function of the school when the switch</p><p>  S1 S2 功 能</p><p>  1 1 計數(shù)</p><p>  1 0 校分</p><p>

75、  0 1 校時 </p><p> ?。ㄈ﹄娐分兴玫闹饕肮δ芙榻B</p><p>  在此電路中,用到的元器件有兩塊四2輸入與非門74LS00 、一塊六反相器74 LS04、兩個電容、兩個電阻以及兩個開關(guān)。</p><p>  (1) 四-2輸入與非門74LS00</p><p>  集成邏輯門是數(shù)字電路中應(yīng)用

76、十分廣泛最基本的一種器件,為了合理的使用和充分利用其性能,必須對它的主要參數(shù)和邏輯功能進(jìn)行測試。74LS00與非門的主要參數(shù)為:</p><p>  輸出高電平:指與非門有一個以上輸入端接地或接低電平時的輸出電平值。</p><p>  輸出低電平:指與非門的所有輸入端均接高電平時的輸出電平值。</p><p>  開門電平:指與非門輸出處于額定低電平時允許輸入高電

77、平的最小值。</p><p>  關(guān)門電平:指與非門輸出處于高電平狀態(tài)時允許輸入低電平的最大值。</p><p>  電壓傳輸特性:是指門的輸出電壓隨輸入電壓而變化的曲線,由它可以得到門電路的輸出高電平、輸出低電平、關(guān)門電平和開門電平等。</p><p>  低電平的輸出電源電流;是指輸入所有端都懸空,輸出端空載時,電源提供器件的電流。</p><

78、;p>  高電平輸出電源電流:是指輸出端空載,每個門各有一個以上的輸入端接地,電源提供給器件的電流。</p><p>  低電平輸入電流:是指被測輸入端接地,其余輸入端懸空時,由被測輸入端流出的電流值。</p><p>  高電平輸入電流:指被測輸入端接高電平,其余輸入端接地,流入被測輸入端的電流值。</p><p>  扇出系數(shù):門電路能驅(qū)動同類門的個數(shù),它

79、是衡量門電路負(fù)載能力的一個參數(shù),TTL與非門有兩種不同性質(zhì)的負(fù)載,即灌電流負(fù)載和拉電流負(fù)載,因此有兩種扇出系數(shù)。即低電平扇出系數(shù)和高電平扇出系數(shù)。</p><p>  2.2.1.4 譯碼與顯示電路 </p><p>  (一)電路如圖2-15所示</p><p>  圖2-15譯碼與顯示電路 </p><p>  Decode and

80、display circuit</p><p>  (二)電路的工作原理</p><p>  譯碼是編碼的相反過程,譯碼器是將輸入的二進(jìn)制代碼翻譯成相應(yīng)的輸出信號以表示編碼時所賦予原意的電路。常用的集成譯碼器有二進(jìn)制譯碼器、二—十制譯碼器和BCD—7段譯碼器、顯示模塊用來顯示計時模塊輸出的結(jié)果。</p><p> ?。ㄈ﹄娐分械闹饕肮δ芙榻B</p&g

81、t;<p> ?。?)譯碼器74LS48</p><p>  譯碼器是一個多輸入、多輸出的組合邏輯電路。它的工作是把給定的代碼進(jìn)行“翻譯”,變成相應(yīng)的狀態(tài),使輸出通道中相應(yīng)的一路有信號輸出。譯碼器在數(shù)字系統(tǒng)中有廣泛的用途,不僅用于代碼的轉(zhuǎn)換、終端的數(shù)字顯示,還用于數(shù)字分配,存儲器尋址和組合控制信號等。譯碼器可以分為通用譯碼器和顯示譯碼器兩大類。在電路中用的譯碼器是共陰極譯碼器74LS48,用74LS

82、48把輸入的8421BCD碼ABCD譯成七段輸出a-g,再由七段數(shù)碼管顯示相應(yīng)的數(shù)。 74LS48的管腳圖如圖16。在管腳圖中,管腳LT、RBI、BI/RBO都是低電平是起作用,作用分別為:</p><p>  LT為燈測檢查,用LT可檢查七段顯示器個字段是否能正常被點燃。</p><p>  BI是滅燈輸入,可以使顯示燈熄滅。</p><p>  RBI是滅零輸入

83、,可以按照需要將顯示的零予以熄滅。BI/RBO是共用輸出端,RBO稱為滅零輸出端,可以配合滅零輸出端RBI,在多位十進(jìn)制數(shù)表示時,把多余零位熄滅掉,以提高視圖的清晰度。也可用共陰譯碼器74LS248,CD4511。</p><p>  圖2-16譯碼器74LS48</p><p>  Decoder 74LS48</p><p> ?。?)顯示器SM421050N&

84、lt;/p><p>  在此電路圖中所用的顯示器是共陰極形式,陰極必須接地。SM421050N的管腳功能圖如圖2-17</p><p>  圖2-17顯示器SM421050N</p><p>  Display SM421050N</p><p>  主體電路部分是由上面的以上的各個單元電路組成的,電路圖見附件</p><p&

85、gt;  2.2.2 擴展功能電路的設(shè)計</p><p>  2.2.2.1 定時控制電路</p><p>  數(shù)字鐘在指定的時刻發(fā)出信號,或驅(qū)動音響電路“鬧時”;或?qū)δ逞b置的電源進(jìn)行接通或斷開“控制”。不管是鬧時還是控制,都要求時間準(zhǔn)確,即信號的開始時刻與持續(xù)時間必須滿足規(guī)定的要求。</p><p> ?。ㄒ唬┰O(shè)計電路如圖2-18所示</p><

86、;p>  圖2-18定時控制電路</p><p>  Timing control circuit</p><p> ?。ǘ╇娐返墓ぷ髟?lt;/p><p>  在這里將舉例來說明它的工作原理。要求上午7時59分發(fā)出鬧時信號,持續(xù)1分鐘。設(shè)計如下:</p><p>  7時59分對應(yīng)數(shù)字鐘的時時個位計數(shù)器的狀態(tài)為,分十位計數(shù)器的狀態(tài)為,

87、分個位計數(shù)器的狀態(tài)為,若將上述計數(shù)器輸出為“1”的所有輸出端經(jīng)過與門電路去控制音響電路,就可以使音響電路正好在7點59分響,持續(xù)1分鐘后(即8點)停響。所以鬧時控制信號Z的表達(dá)式為</p><p><b>  公式(2-1)</b></p><p>  式中,M為上午的信號輸出,要求M=1。</p><p>  如果用與非門實現(xiàn)的邏輯表達(dá)式為:

88、</p><p><b>  公式(2-2)</b></p><p>  在該電路圖中用到了4輸入二與非門74LS20,集電極開路的2輸入四與非門74LS03,因OC門的輸出端可以進(jìn)行“線與”,使用時在它們的輸出端與電源+5V端之間應(yīng)接一電阻RL。RL的值由下式?jīng)Q定:</p><p><b>  公式(2-3)</b>&l

89、t;/p><p>  =0.4V,=0.4mA,=2.4V,=50uA,=8mA,=100Ua;m為負(fù)載門輸入端總個數(shù)。</p><p>  取RL=3.3KΩ。如果控制1KHz高音和驅(qū)動音響電路的兩極與非門也采用OC門,則RL的值應(yīng)該重新計算。</p><p>  由電路圖可以看見,上午7點59分,音響電路的晶體管導(dǎo)通,則揚聲器發(fā)出1KHz的聲音。持續(xù)1分鐘到8點整晶

90、體管因為輸入端為“0”而截止,電路停鬧。</p><p> ?。ㄈ﹄娐分兴玫闹饕肮δ芙榻B</p><p>  在電路中所用到的元件有74LS03,74LS20等。</p><p> ?。?)四2輸入與非門74LS03</p><p>  74LS03的管腳圖如圖2-19 </p><p>  圖2-19 7

91、4LS03的管腳圖</p><p>  74LS03 pin diagram</p><p> ?。?)二4輸入與非門74LS20</p><p>  74LS20的管腳圖如圖2-20所示。</p><p>  圖2-20 74LS20的管腳圖</p><p>  74LS20 pin diagram</p>

92、;<p>  2.2.2.2 仿廣播電臺正點報時電路</p><p>  仿廣播電臺正點報時的功能要求是:每當(dāng)數(shù)字鐘計時快要到正點時,通常按照4低音1高音的順序發(fā)出間斷聲響,以最后一聲高音結(jié)束的時刻為正點時刻。 </p><p> ?。ㄒ唬┰O(shè)計電路如圖2-21所示

93、 </p><p>  圖2-21仿廣播電臺正點報時電路</p><p>  Punctual timekeeping circuit imitation Radio</p><p> ?。ǘ┰撾娐穲D的工作原理</p><p>  電路圖的工作原理舉例來說明;例如設(shè)4聲低音(約500Hz)分別 在59分51秒、53秒、55

94、秒及57秒,最后一聲高音(約1000Hz)發(fā)生在59秒,它們的持續(xù)時間為1秒。只有當(dāng)分十進(jìn)位的,分個位的,秒十位的及秒個位的時,音響電路才能工作。 </p><p> ?。ㄈυ撾娐分惺褂玫脑慕榻B</p><p>  因為在該電路中所用的元件主要是74LS00、74LS04及74LS20這些元件在前面的電路中已經(jīng)介紹.這里就不再介紹它了.</p

95、><p>  2.2.2.3 自動報整點時數(shù)電路</p><p> ?。ㄒ唬┰O(shè)計電路如附錄圖4所示</p><p>  (二)電路的工作原理</p><p>  報整點時數(shù)電路的功能是:每當(dāng)數(shù)字鐘計時到整點時發(fā)出音響,并且?guī)c響幾聲。</p><p>  實現(xiàn)這一功能的電路主要有以下幾個部分。</p><

96、;p>  減法計數(shù)器:完成幾點響幾聲的功能。即從小時計數(shù)器的整點開始進(jìn)行減法計數(shù),直到零為止。</p><p>  編碼器:將小時計數(shù)器的5個輸出端、、、、按照“12翻1”的編碼要求轉(zhuǎn)換為減法計數(shù)器的4個輸入端、、、所需要的BCD碼。在電路圖中編碼器是由與非門實現(xiàn)的組合邏輯電路。</p><p>  其中編碼器是由與非門實現(xiàn)的組合邏輯電路,其輸出端的邏輯表達(dá)式由5變量的卡若圖可得。&

97、lt;/p><p><b>  公式 (2-4)</b></p><p>  表2.6編碼器的真值表</p><p>  Encoder truth table</p><p>  分進(jìn)位脈沖 小時計數(shù)器輸出 減法計數(shù)器輸入</p><p>  CP <

98、;/p><p>  1 0 0 0 0 1 0 0 0 1</p><p>  2 0 0 0 1 0 0 0 1 0</p><p>  3 0 0 0 1 1 0 0 1 1</p><p>  4 0

99、0 1 0 0 0 1 0 0</p><p>  5 0 0 1 0 1 0 1 0 1</p><p>  6 0 0 1 1 0 0 1 1 0</p><p>  7 0 0 1 1 1 0 1 1 1</p>

100、;<p>  8 0 1 0 0 0 1 0 0 0</p><p>  9 0 1 0 0 1 1 0 0 1</p><p>  10 1 0 0 0 0 1 0 1 0</p><p>  11 1 0 0

101、0 1 1 0 1 1</p><p>  12 1 0 0 1 0 1 1 0 0</p><p>  邏輯控制電路 控制減法計數(shù)器的清“0”與置數(shù),控制音響電路的輸入信號。</p><p>  減法計數(shù)器選用74LS191,74LS191各控制端的作用如下。</p><p>  LD

102、為置數(shù)端。當(dāng)LD=0時將小時計數(shù)器的輸出經(jīng)數(shù)據(jù)輸入端的數(shù)據(jù)置入,RC為溢出負(fù)脈沖輸出端.當(dāng)減法計數(shù)到“0”時,RC輸出一個負(fù)脈沖。U/D為加/減控制器。U/D=1時減法計數(shù)。CPA為減法計數(shù)脈沖,兼作音響電路的控制脈沖。</p><p>  邏輯控制電路由D觸發(fā)器74LS74與多級與非門組成。其工作原理是:接通電源后按觸發(fā)開關(guān)S,使D觸發(fā)器74LS74清‘0’,即1Q=0。該清“0”脈沖有兩個作用:一是,使74L

103、S191的置數(shù)端LD=0,即將此對應(yīng)的小時計數(shù)器輸出的整點時數(shù)置入74LS191;二是,封鎖1KHz的音頻信號,使音響電路無脈沖輸入。當(dāng)分十位計數(shù)器的進(jìn)位脈沖下降沿到來時,經(jīng)過G1反相,小時計數(shù)器加1。新的小時數(shù)置于74LS191,分十位計數(shù)器的進(jìn)位脈沖的下降沿到來時又使74LS74的狀態(tài)翻轉(zhuǎn),1Q經(jīng)G3、G4延時后,74LS191進(jìn)行減法計數(shù),計數(shù)脈沖由CP0提供。CP0=1時音響電路發(fā)出1KHz聲音,當(dāng)CP0=0時停響。當(dāng)減法計數(shù)到

104、0時,使D觸發(fā)器的1CP=0,但是觸發(fā)器的狀態(tài)不改變。因為分十位計數(shù)器的進(jìn)位脈沖仍為0,CP=1,使D觸發(fā)器翻轉(zhuǎn)復(fù)“0”,74LS191又回到置數(shù)狀態(tài),直到下一個分十位計數(shù)器進(jìn)位脈沖的下降沿來到。實現(xiàn)自動報警的功能。如果出現(xiàn)某些整點數(shù)不準(zhǔn)確,其主要原因是邏輯控制電路的與非門延時時間不夠,產(chǎn)生了競爭冒險的現(xiàn)象,可以適當(dāng)增加與非門的級數(shù)或接如小電容進(jìn)行濾波。</p><p>  2.2.2.4 觸摸報整點時數(shù)電路&l

105、t;/p><p>  設(shè)計本功能基于在有些場合(如夜間),不便于直接看顯示時間,希望數(shù)字鐘有觸摸報整點時數(shù)的功能.即觸摸數(shù)字鐘的某端,就能報時.</p><p>  在功能三的基礎(chǔ)上,增加一觸發(fā)脈沖控制電路,或者將功能三的電路的自動報時改為觸摸報時電路即可.產(chǎn)生觸摸脈沖的電路有單次脈沖產(chǎn)生器,555集成電路定時器,單穩(wěn)態(tài)觸發(fā)器等 .我采用的是555集成電路產(chǎn)生的觸摸脈沖.觸摸控制電路如圖2-2

106、3</p><p>  圖2-23觸摸報整點時數(shù)電路</p><p>  Touch reported that the number of hours the whole point of the circuit</p><p>  SZ2為一金屬片,它還要和74LS74的RD(1)端連接,當(dāng)用手觸摸金屬片時,即加入一負(fù)脈沖,其有兩個作用:一、經(jīng)過555產(chǎn)生一正脈

107、沖;二、使D觸發(fā)器輸出為0,從而使小時計數(shù)器的輸出的整數(shù)點置入74LS191。555輸出經(jīng)過偶數(shù)次反向器延時后家到小時計數(shù)器的CP端,從而使74LS191開始減數(shù)。</p><p><b>  3 調(diào)試</b></p><p>  在本設(shè)計中,為了設(shè)計的順利進(jìn)行,我進(jìn)行了調(diào)試。以確保最后能很好的完成其各部分功能。調(diào)試后,我就畫PCB圖,用來制印制板。因為PCB圖先畫,

108、后經(jīng)過反復(fù)考慮振蕩電路部分改進(jìn)了,最后用的是1MHZ的晶振經(jīng)過三片CD4518六次分頻就能得到1HZ的頻率。所以在印制板外加了一個振蕩部分電路。</p><p>  3.1主體電路部分 </p><p><b>  振蕩電路部分</b></p><p>  我先用的是32768HZ的晶振和反向器74LS00接兩個電阻和兩個電容組成的振蕩電路,

109、產(chǎn)生32768HZ的方波信號,經(jīng)過15級二分頻后得到1HZ的基準(zhǔn)脈沖。擴展部分所需的頻率可以從5級二分頻得到1024HZ六級二分頻得到512HZ但是這樣用的集成塊較多,時間延遲較長。用555產(chǎn)生多諧振蕩方波也可,就是精確度和穩(wěn)定度不高。后來我就用的1MHZ的晶振產(chǎn)生1MHZ的頻率經(jīng)過74LS90組成的二-五-十的分頻器,可很好的擴展部分所需的頻率。只是要用六塊74LS90,后來我查了手冊,發(fā)現(xiàn)4518有兩片十進(jìn)制分頻器,功能與74LS9

110、0又基本上相同,這樣就可少用集稱塊,減少時間延時。</p><p>  在現(xiàn)用電路調(diào)試中,晶振的輸出頻率為1MHz,用三片CD4518組成了六級十分頻電路,在調(diào)試中我對每級分路進(jìn)行了測試。在第一級分頻后出現(xiàn)的脈沖信號為100KHz,經(jīng)過第二級得到了10KHz的標(biāo)準(zhǔn)脈沖,這樣一級級的分頻,經(jīng)過六次分頻后得到了標(biāo)準(zhǔn)的1Hz脈沖信號。</p><p>  振蕩部分產(chǎn)生1MHZ的方波信號</

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論