2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩18頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b>  課程設(shè)計(jì)任務(wù)書</b></p><p><b>  目錄</b></p><p><b>  1 總體設(shè)計(jì)1</b></p><p>  1.1 設(shè)計(jì)任務(wù)1</p><p>  1.2 設(shè)計(jì)要求1</p><p>  

2、1.3 方案論證1</p><p><b>  2設(shè)計(jì)思想1</b></p><p>  2.1 硬件設(shè)計(jì)思想1</p><p>  2.2 軟件設(shè)計(jì)思想2</p><p>  3電路原理與電路圖2</p><p>  3.1 電路原理2</p><p>  3

3、.2 電路原理圖3</p><p>  3.3 AT89C52單片機(jī)及其引腳說(shuō)明3</p><p>  3.4 數(shù)碼管顯示系統(tǒng)電路5</p><p>  3.4.1 數(shù)碼管的介紹5</p><p>  3.4.2 四位數(shù)碼管的介紹6</p><p>  3.5繼電器電路7</p><p&

4、gt;  4 系統(tǒng)程序的設(shè)計(jì)9</p><p><b>  4.1 主程序9</b></p><p>  4.2 顯示子程序10</p><p>  4.3 定時(shí)器T0、T1中斷服務(wù)程序11</p><p>  4.4 程序清單11</p><p><b>  5 仿真結(jié)果1

5、4</b></p><p>  5.1 仿真環(huán)境14</p><p>  5.2 仿真結(jié)果15</p><p><b>  6 設(shè)計(jì)總結(jié)17</b></p><p><b>  參考文獻(xiàn)17</b></p><p><b>  時(shí)間繼電器設(shè)計(jì)&l

6、t;/b></p><p><b>  1 總體設(shè)計(jì)</b></p><p><b>  1.1 設(shè)計(jì)任務(wù)</b></p><p> ?。?)實(shí)現(xiàn)STC89C52繼電器控制。</p><p> ?。?)實(shí)現(xiàn)定時(shí)器倒計(jì)時(shí)并用數(shù)碼管顯示。</p><p> ?。?)實(shí)現(xiàn)單片

7、機(jī)的三個(gè)控制鍵;開始鍵,分鐘鍵和秒鍵。</p><p><b>  1.2 設(shè)計(jì)要求</b></p><p>  用STC89C52單片機(jī)時(shí)間繼電器設(shè)計(jì),可以通過(guò)鍵盤設(shè)定時(shí)間,時(shí)間在數(shù)碼管上顯示,最后控制繼電器動(dòng)作。</p><p><b>  1.3 方案論證</b></p><p>  方案一:

8、用AT89C51作為主要芯片,采用排阻,并用匯編語(yǔ)言寫程序,采用硬件消抖</p><p>  方案二:采用三極管驅(qū)動(dòng)數(shù)碼管,C語(yǔ)言編寫程序,在編寫程序時(shí)進(jìn)行軟件消抖</p><p>  相比之后方案二更簡(jiǎn)便,因?yàn)檐浖陡菀?,C語(yǔ)言程序更容易懂,易修改,硬件電路更簡(jiǎn)單。</p><p><b>  2 設(shè)計(jì)思想</b></p>

9、<p>  2.1 硬件設(shè)計(jì)思想</p><p>  數(shù)字電子秒表具有顯示直觀、讀取方便、精度高等優(yōu)點(diǎn),在計(jì)時(shí)中廣泛使用。本設(shè)計(jì)用單片機(jī)組成數(shù)字電子秒表,力求結(jié)構(gòu)簡(jiǎn)單、精度高為目標(biāo)。</p><p>  設(shè)計(jì)中包括硬件電路的設(shè)計(jì)和系統(tǒng)程序的設(shè)計(jì)。其硬件電路主要有主控制器,計(jì)時(shí)與顯示電路和回零、啟動(dòng)等。主控制器采用單片機(jī)AT89C52,顯示電路采用四位共陽(yáng)極LED數(shù)碼管顯示計(jì)時(shí)時(shí)間

10、。由于本實(shí)驗(yàn)有四位數(shù)碼管,如果采用靜態(tài)顯示要占用全部的I/O端口,所以本次試驗(yàn)采用靜態(tài)顯示,</p><p>  建立最小單片機(jī)系統(tǒng),在AT89C51單片機(jī)的P2端通過(guò)三極管接上4位七段共陰極數(shù)碼管,P2.0腳接第一位數(shù)碼管片選端,P2.1腳接第二位數(shù)碼管片選端,P2.2腳接第三位數(shù)碼片選端,P2.3腳接第四位數(shù)碼管片選端,這四位分別顯示秒時(shí)間的十位,個(gè)位,小數(shù)點(diǎn)后一位 ,小數(shù)點(diǎn)后兩位顯示的片選控制端。P2.4腳

11、接小數(shù)點(diǎn)控制端。</p><p>  秒表控制鍵盤。用P3.0接鍵盤開啟計(jì)時(shí)鍵,P3.1接鍵盤計(jì)時(shí)暫停鍵,P3.2接鍵盤計(jì)時(shí)復(fù)位鍵。</p><p>  2.2 軟件設(shè)計(jì)思想</p><p>  采用C語(yǔ)言編寫程序,程序共有四部分;</p><p>  第一部分是主程序,用于對(duì)程序的中斷控制、數(shù)據(jù)等的初始化,并且對(duì)秒表控制鍵盤的掃描。<

12、/p><p>  第二部分時(shí)間產(chǎn)生程序,用定時(shí)/計(jì)數(shù)器0中斷程序用時(shí)產(chǎn)生時(shí)間,利用每10m進(jìn)入本中斷程序一次</p><p>  第三部分4位七段共陰極數(shù)碼管動(dòng)態(tài)顯示程序,用定時(shí)/計(jì)數(shù)1中斷程序每50ms對(duì)數(shù)碼管各掃描一次,是利用人眼視覺暫留實(shí)現(xiàn)數(shù)碼管的顯示。</p><p>  第四部分動(dòng)態(tài)掃描延時(shí)程序,用于在對(duì)數(shù)碼管動(dòng)態(tài)掃描時(shí),每掃描一個(gè)數(shù)碼管后的延時(shí)程序。以實(shí)現(xiàn)四

13、位數(shù)碼時(shí)間同時(shí)顯示的效果。</p><p>  3 電路原理與電路圖</p><p><b>  3.1 電路原理</b></p><p>  AT89C51單片機(jī)做為控制電路,用P1口做為數(shù)據(jù)輸出端,P2口做為4位七段共陰極數(shù)碼管的片選控制輸出口,P3.0,P3.1,P3.2做為鍵盤接口。時(shí)間顯示器,由4位七段共陰極數(shù)碼管構(gòu)成。</p&

14、gt;<p><b>  3.2 電路原理圖</b></p><p>  圖3-1 單片機(jī)系統(tǒng)電路原理圖</p><p>  3.3 AT89C52單片機(jī)及其引腳說(shuō)明</p><p>  AT89C52是一個(gè)低電壓,高性能CMOS 8位單片機(jī),片內(nèi)含8k bytes的可反復(fù)擦寫的Flash只讀程序存儲(chǔ)器和256 bytes的隨機(jī)存

15、取數(shù)據(jù)存儲(chǔ)器(RAM),器件采用ATMEL公司的高密度、非易失性存儲(chǔ)技術(shù)生產(chǎn),兼容標(biāo)準(zhǔn)MCS-51指令系統(tǒng),片內(nèi)置通用8位中央處理器和Flash存儲(chǔ)單元,功能強(qiáng)大的AT89C52單片機(jī)可為您提供許多較復(fù)雜系統(tǒng)控制應(yīng)用場(chǎng)合。</p><p>  AT89C52有40個(gè)引腳,32個(gè)外部雙向輸入/輸出(I/O)端口,同時(shí)內(nèi)含2個(gè)外中斷口,3個(gè)16位可編程定時(shí)計(jì)數(shù)器,2個(gè)全雙工串行通信口,2個(gè)讀寫口線,AT89C52可以

16、按照常規(guī)方法進(jìn)行編程,也可以在線編程。其將通用的微處理器和Flash存儲(chǔ)器結(jié)合在一起,特別是可反復(fù)擦寫的Flash存儲(chǔ)器可有效地降低開發(fā)成本。</p><p><b>  主要功能特性:</b></p><p>  ? 兼容MCS51指令系統(tǒng) </p><p>  ? 8k可反復(fù)擦寫(>1000次)Flash ROM</p>

17、<p>  ? 32個(gè)雙向I/O口</p><p>  ? 256x8bit內(nèi)部RAM</p><p>  ? 3個(gè)16位可編程定時(shí)/計(jì)數(shù)器中斷</p><p>  ? 時(shí)鐘頻率0-24MHz</p><p><b>  ? 2個(gè)串行中斷</b></p><p>  ?可編程UART串

18、行通道</p><p><b>  ? 2個(gè)外部中斷源</b></p><p><b>  ?共6個(gè)中斷源</b></p><p>  ? 2個(gè)讀寫中斷口線 </p><p><b>  ? 3級(jí)加密位</b></p><p>  ?低功耗空閑和掉電模式

19、</p><p>  ?軟件設(shè)置睡眠和喚醒功能</p><p>  圖3-2 51單片機(jī)引腳圖</p><p>  3.4 數(shù)碼管顯示系統(tǒng)電路</p><p>  3.4.1 數(shù)碼管的介紹</p><p>  本系統(tǒng)輸出結(jié)果選用4個(gè)LED顯示。LED數(shù)碼管的外形結(jié)構(gòu)如圖2-4,外部有10個(gè)引腳,其中3, 8腳為公共端也

20、稱位選端,其余8個(gè)引腳稱為段選端,當(dāng)要使某一位數(shù)碼管顯示某一數(shù)字((0-9中的一個(gè))必須在這個(gè)數(shù)碼管的段選端加上與數(shù)字顯示數(shù)字對(duì)應(yīng)的8位段選碼(也稱字形碼),在位選端加上低電平即可。LED有共陰極和共陽(yáng)極兩種。如圖2-4所示。</p><p>  二極管的陰極連接在一起,通常此公共陰極接地,而共陽(yáng)極則將發(fā)光二極管的陽(yáng)極連接在一起,接入+5V的電壓。一位顯示器由8個(gè)發(fā)光二極管組成,其中7個(gè)發(fā)光二極管構(gòu)成字型“8”的

21、各個(gè)筆劃(段)a~g,另一個(gè)小數(shù)點(diǎn)為dp發(fā)光二極管。當(dāng)在某段發(fā)光二極管施加一定的正向電壓時(shí),該段筆劃即亮;不加電壓則暗。為了保護(hù)各段LED不被損壞,需外加限流電阻。</p><p>  共陰極 共陽(yáng)極</p><p>  圖 3-3 LED數(shù)碼管結(jié)構(gòu)原理圖</p><p>  圖3-4 LED數(shù)碼管引腳圖</p>

22、<p>  數(shù)碼管顯示器有兩種工作方式,即靜態(tài)顯示方式和動(dòng)態(tài)掃描顯示方式。為節(jié)省端口及降低功耗,本系統(tǒng)采用動(dòng)態(tài)掃描顯示方式。動(dòng)態(tài)掃描顯示方式需要解決多位LED數(shù)碼管的“段控”和“位控”問(wèn)題,本電路的通過(guò)P1口實(shí)現(xiàn):而每一位的公共端,即LED數(shù)碼管的“位控”,則由P3口控制。這種連接方式由于多位字段線連在一起,因此,要想顯示不同的內(nèi)容,必然要采取輪流顯示的方式,即在某一瞬間,只讓其中的某一位的字位線處于選通狀態(tài),其它各位的字位

23、線處于斷開狀態(tài),同時(shí)字段線上輸出這一位相應(yīng)要顯示字符的字段碼。在這一瞬時(shí),只有這一位在顯示,其他幾位則暗。在本系統(tǒng)中,字位線的選通與否是通過(guò)PNP三極管的導(dǎo)通與截止來(lái)控制,即三極管處于“開頭”狀態(tài)。</p><p>  使用LED顯示器時(shí),要注意區(qū)分這兩種不同的接法。為了顯示數(shù)字或字符,必須對(duì)數(shù)字或字符進(jìn)行編碼。七段數(shù)碼管加上一個(gè)小數(shù)點(diǎn),共計(jì)8段。因此為L(zhǎng)ED顯示器提供的編碼正好是一個(gè)字節(jié)。TX實(shí)驗(yàn)板用共陰LED

24、顯示器,根據(jù)電路連接圖顯示16進(jìn)制數(shù)的編碼已列在下表。</p><p>  表3-1 LED字形顯示代碼表</p><p>  3.4.2 四位數(shù)碼管的介紹</p><p>  數(shù)碼管按段數(shù)分為七段數(shù)碼管和八段數(shù)碼管,八段數(shù)碼管比七段數(shù)碼管多一個(gè)發(fā)光二極管單元(多一個(gè)小數(shù)點(diǎn)顯示);按能顯示多少個(gè)“8”可分為1位、2位、4位等等數(shù)碼管;按發(fā)光二極管單元連接方式分為共

25、陽(yáng)極數(shù)碼管和共陰極數(shù)碼管。四位數(shù)碼管陽(yáng)=陰極連接在一起,陽(yáng)極分開有各自的位選,動(dòng)態(tài)顯示的特點(diǎn)是將所有位數(shù)碼管的段選線并聯(lián)在一起,由位選線控制是哪一位數(shù)碼管有效。選亮數(shù)碼管采用動(dòng)態(tài)掃描顯示。所謂動(dòng)態(tài)掃描顯示即輪流向各位數(shù)碼管送出字形碼和相應(yīng)的位選,利用發(fā)光管的余輝和人眼視覺暫留作用,使人的感覺好像各位數(shù)碼管同時(shí)都在顯示。動(dòng)態(tài)顯示的亮度比靜態(tài)顯示要差一些,所以在選擇限流電阻時(shí)應(yīng)略小于靜態(tài)顯示電路中的。</p><p>

26、;  圖3-5 數(shù)碼管顯示效果圖</p><p>  圖3-6 數(shù)碼管內(nèi)部驅(qū)動(dòng)電路</p><p><b>  3.5繼電器電路</b></p><p>  繼電器(英文名稱:relay)是一種電控制器件,是當(dāng)輸入量(激勵(lì)量)的變化達(dá)到規(guī)定要求時(shí),在電氣輸出電路中使被控量發(fā)生預(yù)定的階躍變化的一種電器。它具有控制系統(tǒng)(又稱輸入回路)和被控制系統(tǒng)(

27、又稱輸出回路)之間的互動(dòng)關(guān)系。通常應(yīng)用于自動(dòng)化的控制電路中,它實(shí)際上是用小電流去控制大電流運(yùn)作的一種“自動(dòng)開關(guān)”。故在電路中起著自動(dòng)調(diào)節(jié)、安全保護(hù)、轉(zhuǎn)換電路等作用。</p><p><b>  圖3-7 繼電器</b></p><p>  繼電器線圈在電路中用一個(gè)長(zhǎng)方框符號(hào)表示,如果繼電器有兩個(gè)線圈,就畫兩個(gè)并列的長(zhǎng)方框。同時(shí)在長(zhǎng)方框內(nèi)或長(zhǎng)方框旁標(biāo)上繼電器的文字符號(hào)“

28、J”。繼電器的觸點(diǎn)有兩種表示方法:一種是把它們直接畫在長(zhǎng)方框一側(cè),這種表示法較為直觀。另一種是按照電路連接的需要,把各個(gè)觸點(diǎn)分別畫到各自的控制電路中,通常在同一繼電器的觸點(diǎn)與線圈旁分別標(biāo)注上相同的文字符號(hào),并將觸點(diǎn)組編上號(hào)碼,以示區(qū)別。繼電器的觸點(diǎn)有三種基本形式:</p><p>  1、動(dòng)合型(常開)(H型)線圈不通電時(shí)兩觸點(diǎn)是斷開的,通電后,兩個(gè)觸點(diǎn)就閉合。以合字的拼音字頭“H”表示。</p>

29、<p>  2、動(dòng)斷型(常閉)(D型)線圈不通電時(shí)兩觸點(diǎn)是閉合的,通電后兩個(gè)觸點(diǎn)就斷開。用斷字的拼音字頭“D”表示。</p><p>  3、轉(zhuǎn)換型(Z型)這是觸點(diǎn)組型。這種觸點(diǎn)組共有三個(gè)觸點(diǎn),即中間是動(dòng)觸點(diǎn),上下各一個(gè)靜觸點(diǎn)。線圈不通電時(shí),動(dòng)觸點(diǎn)和其中一個(gè)靜觸點(diǎn)斷開和另一個(gè)閉合,線圈通電后,動(dòng)觸點(diǎn)就移動(dòng),使原來(lái)斷開的成閉合,原來(lái)閉合的成斷開狀態(tài),達(dá)到轉(zhuǎn)換的目的。這樣的觸點(diǎn)組稱為轉(zhuǎn)換觸點(diǎn)。用“轉(zhuǎn)”字的拼

30、音字頭“z”表示。</p><p>  繼電器是具有隔離功能的自動(dòng)開關(guān)元件,廣泛應(yīng)用于遙控、遙測(cè)、通訊、自動(dòng)控制、機(jī)電一體化及電力電子設(shè)備中,是最重要的控制元件之一。</p><p>  繼電器一般都有能反映一定輸入變量(如電流、電壓、功率、阻抗、頻率、溫度、壓力、速度、光等)的感應(yīng)機(jī)構(gòu)(輸入部分);有能對(duì)被控電路實(shí)現(xiàn)“通”、“斷”控制的執(zhí)行機(jī)構(gòu)(輸出部分);在繼電器的輸入部分和輸出部分之

31、間,還有對(duì)輸入量進(jìn)行耦合隔離,功能處理和對(duì)輸出部分進(jìn)行驅(qū)動(dòng)的中間機(jī)構(gòu)(驅(qū)動(dòng)部分)。作為控制元件,概括起來(lái),繼電器有如下幾種作用:</p><p>  1)擴(kuò)大控制范圍:例如,多觸點(diǎn)繼電器控制信號(hào)達(dá)到某一定值時(shí),可以按觸點(diǎn)組的不同形式,同時(shí)換接、開斷、接通多路電路。</p><p>  2)放大:例如,靈敏型繼電器、中間繼電器等,用一個(gè)很微小的控制量,可以控制很大功率的電路。</p&g

32、t;<p>  3)綜合信號(hào):例如,當(dāng)多個(gè)控制信號(hào)按規(guī)定的形式輸入多繞組繼電器時(shí),經(jīng)過(guò)比較綜合,達(dá)到預(yù)定的控制效果。</p><p>  4)自動(dòng)、遙控、監(jiān)測(cè):例如,自動(dòng)裝置上的繼電器與其他電器一起,可以組成程序控制線路,從而實(shí)現(xiàn)自動(dòng)化運(yùn)行。</p><p>  圖3-8 繼電器驅(qū)動(dòng)電路</p><p><b>  4 系統(tǒng)程序的設(shè)計(jì)<

33、/b></p><p><b>  4.1 主程序</b></p><p>  本設(shè)計(jì)中,計(jì)時(shí)采用定時(shí)器T0中斷完成,其余狀態(tài)循環(huán)調(diào)用顯示子程序,當(dāng)端口開關(guān)按下時(shí),轉(zhuǎn)入相應(yīng)功能程序。其主程序執(zhí)行流程見下圖。</p><p><b>  4.2 顯示子程序</b></p><p>  數(shù)碼管顯示

34、的數(shù)據(jù)存放在內(nèi)存單元70H-75H中。其中70H-71H存放秒數(shù)據(jù),72H-73H存放分?jǐn)?shù)據(jù),74H-75H存放時(shí)數(shù)據(jù),每一地址單元內(nèi)均為十進(jìn)制BCD碼。由于采用軟件動(dòng)態(tài)掃描實(shí)現(xiàn)數(shù)據(jù)顯示功能,顯示用十進(jìn)制BCD碼數(shù)據(jù)的對(duì)應(yīng)段碼存放在ROM表中。顯示時(shí),先取出70H-75H某一地址中的數(shù)據(jù),然后查得對(duì)應(yīng)的顯示用段碼,并從P0口輸出,P2口將對(duì)應(yīng)的數(shù)碼管選中供電,就能顯示該地址單元的數(shù)據(jù)值。為了顯示小數(shù)點(diǎn)及“-”、“A”等特殊字符,在顯示班

35、級(jí)及計(jì)時(shí)時(shí)采用不同的顯示子程序。</p><p>  4.3 定時(shí)器T0、T1中斷服務(wù)程序</p><p>  定時(shí)器TO、T1用于時(shí)間計(jì)時(shí),定時(shí)溢出中斷周期可分別設(shè)為50ms和10ms.中斷進(jìn)入后,現(xiàn)判斷是時(shí)鐘計(jì)時(shí)還是秒表計(jì)時(shí),時(shí)鐘計(jì)時(shí)累計(jì)中斷20次(即1s)時(shí),對(duì)秒計(jì)數(shù)單元進(jìn)行加1操作,秒表計(jì)時(shí)每10ms進(jìn)行加1操作。在計(jì)數(shù)單元中采用十進(jìn)制BCD碼計(jì)數(shù),滿60(秒表功能時(shí)有100)進(jìn)位

36、,T0中斷服務(wù)程序執(zhí)行流程見下圖</p><p>  圖4-2 定時(shí)器流程圖</p><p><b>  4.4 程序清單</b></p><p>  #include<reg52.h></p><p>  #define uint unsigned int;//定義變量類型</p><p

37、>  #define uchar unsigned char</p><p>  sbit key1=P3^1; //定義按鍵接口</p><p>  sbit key2=P3^2;</p><p>  sbit DP=P1^7;</p><p>  uint bb,shu; //定義變量</p><p>&

38、lt;b>  int aa=0;</b></p><p>  uchar table[]={ 0X3F,0X06,0X5B,0X4F,0X66,0X6D,0X7D,0X07,0X7F,0X6F}; //寄存器地址定義</p><p>  void delay(uint z);延時(shí)程序</p><p>  void keyscan();//鍵盤

39、掃描程序</p><p>  void display(aa);//顯示程序</p><p>  void delay(uint z);//延時(shí)子程序</p><p><b>  {</b></p><p><b>  uint x,y;</b></p><p>  for

40、(x=20;x>0;x--);//每20秒延時(shí)一次</p><p>  for(y=z;y>0;y--);</p><p><b>  }</b></p><p>  void keyscan();//鍵盤掃描,采用循環(huán)嵌套</p><p><b>  {</b></p>

41、<p>  if(key1==0) //判斷P1.0的電平,決定是否延時(shí)</p><p><b>  {</b></p><p>  delay(10); </p><p>  if(key1==0)</p><p><b>  { </b></p><p>

42、;<b>  shu=1; </b></p><p>  } </p><p>  while(!key1);</p><p><b>  }</b></p><p>  if(key2==0) </p><p><b>  {</b&g

43、t;</p><p>  delay(10); </p><p>  if(key2==0)</p><p><b>  { </b></p><p><b>  shu=2; </b></p><p>  } </p><p&

44、gt;  while(!key2);</p><p><b>  }</b></p><p><b>  }</b></p><p>  void display(aa);//顯示子程序,輸出到七段四位數(shù)碼管</p><p><b>  { </b></p>&

45、lt;p>  P1=~table[aa/1000];</p><p><b>  P2=0x7f;</b></p><p>  delay(15); //延時(shí)</p><p><b>  P2=0xff;</b></p><p><b>  P2=0xff;</b>&l

46、t;/p><p><b>  delay(1);</b></p><p>  P1=~table[aa/100%10];</p><p><b>  DP=0;</b></p><p><b>  P2=0xbf;</b></p><p>  delay(

47、15);</p><p><b>  P2=0xff;</b></p><p><b>  P2=0xff;</b></p><p>  delay(1);</p><p>  P1=~table[aa%100/10]; </p><p><b>  P2=0x

48、df;</b></p><p>  delay(15);</p><p><b>  P2=0xff;</b></p><p><b>  P2=0xff;</b></p><p><b>  delay(1);</b></p><p>  

49、P1=~table[aa%10];</p><p><b>  P2=0xef;</b></p><p>  delay(15);</p><p><b>  P2=0xff;</b></p><p><b>  P2=0xff;</b></p><p>

50、;  delay(1); </p><p><b>  }</b></p><p>  void time0() interrupt 1 //定時(shí)模塊</p><p>  { </p><p>  TH0=(65536-10000)/256;//

51、TH0中斷</p><p>  TL0=(65536-10000)%256;//TL0中斷</p><p><b>  aa++;</b></p><p>  if(aa>9999) </p><p><b>  {</b></p><p><b>  aa

52、=0;</b></p><p><b>  }</b></p><p><b>  }</b></p><p>  void main() //主程序</p><p><b>  {</b></p><p>  TMOD=0X01

53、;</p><p>  TH0=(65536-10000)/256;</p><p>  TL0=(65536-10000)%256;</p><p><b>  EA=1;</b></p><p><b>  ET0=1;</b></p><p><b>  wh

54、ile(1)</b></p><p><b>  {</b></p><p>  keyscan();</p><p>  if(shu==1)</p><p><b>  {</b></p><p>  TR0=1;//寄存器初始化</p>&

55、lt;p><b>  shu=0;</b></p><p><b>  }</b></p><p>  if(shu==2)</p><p><b>  {</b></p><p><b>  TR0=0;</b></p><p

56、><b>  shu=0;</b></p><p><b>  }</b></p><p>  display(aa);</p><p><b>  }</b></p><p><b>  }</b></p><p><

57、;b>  5 仿真結(jié)果</b></p><p><b>  5.1 仿真環(huán)境</b></p><p>  Proteus 是英國(guó)Labcenter公司開發(fā)的電路分析與實(shí)物仿真軟件。它運(yùn)行于Windows操作系統(tǒng)上,可以仿真、分析(SPICE)各種模擬器件和集成電路,該軟件的特點(diǎn)是:①實(shí)現(xiàn)了單片機(jī)仿真和SPICE電路仿真相結(jié)合。具有模擬電路仿真、數(shù)字電

58、路仿真、單片機(jī)及其外圍電路組成的系統(tǒng)的仿真、RS232動(dòng)態(tài)仿真、I2C調(diào)試器、SPI調(diào)試器、鍵盤和LCD系統(tǒng)仿真的功能;有各種虛擬儀器,如示波器、邏輯分析儀、信號(hào)發(fā)生器等。</p><p>  ②支持主流單片機(jī)系統(tǒng)的仿真。目前支持的單片機(jī)類型有:ARM7(LPC21xx)、 8051/52系列、AVR系列、PIC10/12/16/18系列、HC11系列以及多種外圍芯片。 </p><p

59、> ?、厶峁┸浖{(diào)試功能。在硬件仿真系統(tǒng)中具有全速、單步、設(shè)置斷點(diǎn)等調(diào)試功能,同時(shí)可以觀察各個(gè)變量、寄存器等的當(dāng)前狀態(tài),因此在該軟件仿真系統(tǒng)中,也必須具有這些功能;同時(shí)支持第三方的軟件編譯和調(diào)試環(huán)境,如Keil C51 uVision2、MPLAB等軟件。</p><p>  ④具有強(qiáng)大的原理圖繪制功能??傊撥浖且豢罴瘑纹瑱C(jī)和SPICE分析于一身的仿真軟件,功能極其強(qiáng)大。</p><

60、;p><b>  5.2 仿真結(jié)果</b></p><p><b>  圖5-1 仿真開始</b></p><p>  運(yùn)行開始前,數(shù)碼顯示管顯示為00.00。</p><p>  按下開始鍵后,秒表程序運(yùn)行,數(shù)碼管開始跑動(dòng),如圖5-2所示。</p><p>  圖5-2 仿真倒計(jì)時(shí)</

61、p><p>  按下復(fù)位鍵,數(shù)碼管清零,如圖5-3所示。</p><p><b>  圖5-3 仿真清零</b></p><p><b>  6 設(shè)計(jì)總結(jié)</b></p><p>  課程設(shè)計(jì)圓滿完成了,在本次的課程設(shè)計(jì)中我深深體會(huì)到單片機(jī)在實(shí)踐中的作用,通過(guò)對(duì)單片機(jī)的學(xué)習(xí),在本次課程設(shè)計(jì)中 ,我發(fā)現(xiàn)了

62、自身的很多問(wèn)題,只知道書本知識(shí),不知道如何將理論知識(shí)運(yùn)用到實(shí)踐中,遇到問(wèn)題無(wú)法順利的解決,分析問(wèn)題解決的能力非常缺乏。在今后的學(xué)習(xí)中,要加強(qiáng)自己的動(dòng)手能力的訓(xùn)練,使自己理論與實(shí)踐更好的結(jié)合,更加深入的學(xué)習(xí)。</p><p>  這次課程設(shè)計(jì)能夠順利,既有我的努力,但同時(shí)也離不開同學(xué)老師的幫助。通過(guò)這次的課程設(shè)計(jì)讓我對(duì)單片機(jī)的理論有了更加深入的了解。</p><p><b>  參

63、考文獻(xiàn)</b></p><p>  [1] 鄒麗新,翁桂榮.單片機(jī)微型計(jì)算機(jī)原理,蘇州大學(xué)出版社,2001.12</p><p>  [2] 鄒麗新,翁桂榮.單片機(jī)微型計(jì)算機(jī)及接口技術(shù),蘇州大學(xué)出版社,2002.4</p><p>  [3] 徐愛鈞,彭秀華.單片機(jī)高級(jí)語(yǔ)言環(huán)境編程與應(yīng)用,北京電子工業(yè)出版社,2001.7</p><p

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論