2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩10頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p>  課程設(shè)計(jì)(論文)任務(wù)書</p><p>  院(系):電氣工程學(xué)院 基層教學(xué)單位:電子實(shí)驗(yàn)中心 </p><p>  說(shuō)明:此表一式四份,學(xué)生、指導(dǎo)教師、基層教學(xué)單位、系部各一份。</p><p>  2010年 月 日 </p><p><b>  目 錄&

2、lt;/b></p><p>  設(shè)計(jì)說(shuō)明 …………………………………………………………………………3</p><p>  1.1 設(shè)計(jì)思路……………………………………………………………………………3</p><p>  1.2 模塊介紹……………………………………………………………………………3</p><p>  1.3 真值

3、表………………………………………………………………………………4</p><p>  第2章 原理圖………………………………………………………………………………5</p><p>  第3章 波形仿真圖…………………………………………………………………………6</p><p>  第4章 管腳鎖定及硬件連接………………………………………………………………7&l

4、t;/p><p>  4.1 管腳鎖定……………………………………………………………………………7</p><p>  4.2 硬件連線……………………………………………………………………………7</p><p>  第5章 總結(jié)…………………………………………………………………………………8</p><p>  參考文獻(xiàn) ……………

5、……………………………………………………………………9</p><p><b>  設(shè)計(jì)說(shuō)明</b></p><p><b>  §1.1設(shè)計(jì)思路</b></p><p>  我的EDA課程設(shè)計(jì)任務(wù)是進(jìn)行電子路標(biāo)的設(shè)計(jì),任務(wù)要求在8*8雙色點(diǎn)陣上顯示電子路標(biāo),即設(shè)計(jì)指示箭頭,且能夠隨箭頭方向流動(dòng)。進(jìn)過(guò)多次實(shí)踐,在

6、最終的設(shè)計(jì)方案里面,我應(yīng)用了十進(jìn)制同步計(jì)數(shù)器74160,3—8譯碼器74138,以及與門、非門等多種門電路。將兩個(gè)指示箭頭安排在了雙色點(diǎn)陣的三、四、五行,分別位于前四列和后四列。用74160、74138把時(shí)鐘頻率CLK2轉(zhuǎn)變?yōu)槿齻€(gè)交替為0的信號(hào)分別對(duì)ROW3、ROW4、ROW5作用,因?yàn)镃LK2所加的信號(hào)頻率較高,使得人眼因?yàn)橐曈X殘留而感覺一個(gè)箭頭是同時(shí)點(diǎn)亮的。又通過(guò)時(shí)鐘頻率CLK1使兩個(gè)箭頭輪流閃爍,在視覺上產(chǎn)生其隨箭頭方向流動(dòng)的感覺

7、。</p><p><b>  §1.2 模塊介紹</b></p><p>  以上為由十進(jìn)制同步計(jì)數(shù)器74160和3—8譯碼器74138以及必要門電路組成的控制信號(hào)產(chǎn)生模塊,實(shí)現(xiàn)了使所需的三、四、五行隨時(shí)鐘信號(hào)分別達(dá)到可用信號(hào)。原理為74160隨CLK2的信號(hào)輸入從0開始計(jì)數(shù),當(dāng)QA、QB同時(shí)輸出1時(shí),通過(guò)與非門向CLRN輸入置零信號(hào),計(jì)數(shù)器重新從零開始計(jì)

8、數(shù)。QCQBQA向74138的輸入端CBA循環(huán)輸入001、010、011信號(hào),此時(shí)Y0N、YIN、Y2N分別向ROW3、ROW4、ROW5輸出0信號(hào)。</p><p><b>  §1.3 真值表</b></p><p>  74160真值表如下:</p><p>  74138真值表如下:</p><p>&

9、lt;b>  第二章 原理圖</b></p><p><b>  第三章 波形仿真圖</b></p><p>  原理圖波形仿真圖如下,其中CLK1、CLK2為輸入,其余均為輸出。</p><p>  第四章 管腳鎖定及硬件連線</p><p><b>  §4.1 管腳鎖定<

10、/b></p><p>  各管腳鎖定均鎖定EDAPRO/240H擴(kuò)展接口的MBIO口,具體與獨(dú)立擴(kuò)展下載板接口引線管腳的鎖定值如下表:</p><p>  §4.2 硬件連線 </p><p>  硬件連線時(shí)將CLK1接頻率組模塊的1HZ所對(duì)應(yīng)的21號(hào)引腳,CLK2接256HZ所對(duì)應(yīng)的13號(hào)引腳,其余各管腳接8*8雙色點(diǎn)陣顯示模塊上名稱相對(duì)應(yīng)的引

11、腳。</p><p><b>  第五章 總結(jié)</b></p><p>  這次EDA課程設(shè)計(jì),給我的題目是電子路標(biāo),因?yàn)橹耙呀?jīng)有其他班級(jí)的同學(xué)進(jìn)行過(guò)這項(xiàng)設(shè)計(jì),傳聞中較難的印象給我造成了一些壓力,但也讓我產(chǎn)生了一定要成功的決心。</p><p>  設(shè)計(jì)過(guò)程中,剛開始想要設(shè)計(jì)出多個(gè)箭頭輪流閃爍使流動(dòng)效果更好,然而在如何能讓各箭頭模塊不互相干擾

12、時(shí)遇到了挺大的困難。整整一天的思考卻始終沒有頭緒,面對(duì)稿紙上一大堆的圖形一籌莫展。后來(lái),終于在同學(xué)的提示下,放棄了原先的思維模式,決定先設(shè)計(jì)簡(jiǎn)單的方案,采用兩個(gè)箭頭交替閃爍來(lái)造成流動(dòng)效果。最終,電子路標(biāo)很順利的完成了,雖然沒有達(dá)到自己最初的要求,不過(guò)也獲得了挺大的成就感。</p><p>  因?yàn)樯现軇傔M(jìn)行過(guò)數(shù)電考試,在理論知識(shí)上有了比較系統(tǒng)的了解,而這次課程設(shè)計(jì)讓我在實(shí)際應(yīng)用的角度上加深了對(duì)數(shù)電的理解。拿到課題

13、后,從整理設(shè)計(jì)思路、選擇電路元器件,到繪制電路圖、上箱實(shí)踐等等步驟,讓我從頭到尾認(rèn)識(shí)了一遍一個(gè)電子產(chǎn)品的實(shí)現(xiàn)過(guò)程。當(dāng)然,我所設(shè)計(jì)的與日常生活中遇見的一些產(chǎn)品相比,只能算是九牛一毛。今后,我還需學(xué)習(xí)更多的理論知識(shí),參加更多的實(shí)踐設(shè)計(jì)。</p><p>  一周的時(shí)間很快就要過(guò)去了,雖然只有寥寥數(shù)日,但是在對(duì)數(shù)電的理解上、在Max+PlusII軟件的簡(jiǎn)單使用上、以及個(gè)人的邏輯思維鍛煉上都獲得了不小的收獲,感謝學(xué)校的安

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論