2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩11頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、<p>  課 程 設(shè) 計(jì) 任 務(wù) 書</p><p>  題目 FIR濾波器的DSP實(shí)現(xiàn) </p><p>  專業(yè)、班級(jí) 學(xué)號(hào) 姓名 </p><p>  主要內(nèi)容、基本要求、主要參考文獻(xiàn)等:</p><p><b>  1、主要內(nèi)容</b&g

2、t;</p><p>  (1) 了解FIR濾波器的原理及使用方法</p><p>  (2) 了解使用MATLAB語言設(shè)計(jì)FIR濾波器的方法</p><p>  (3) 了解FIR濾波器的DSP設(shè)計(jì)及實(shí)現(xiàn)方法</p><p>  (4) 熟悉數(shù)字信號(hào)處理過程。</p><p><b>  2、基本要求<

3、;/b></p><p>  (1) 錄制一段音頻信號(hào),用實(shí)現(xiàn)FIR實(shí)現(xiàn)濾波過程。</p><p>  (2) 在CCS中編寫程序,實(shí)現(xiàn)(1)中設(shè)計(jì)的濾波器。</p><p><b>  3、主要參考文獻(xiàn)</b></p><p> ?。?)高海林,錢滿義。DSP技術(shù)及其應(yīng)用。清華大學(xué)出版社,北京交通大學(xué)出版社。20

4、09</p><p> ?。?)張雄偉,曹鐵勇,陳亮,楊吉斌等。 DSP芯片的原理與開發(fā)應(yīng)用(第4版)。 電子工業(yè)出版社,2009</p><p>  (3)陳金鷹。DSP技術(shù)及應(yīng)用。機(jī)械工業(yè)出版社,2004</p><p>  完 成 期 限: 年 月 日 </p><p>  指導(dǎo)教師簽名:

5、 </p><p>  課程負(fù)責(zé)人簽名: </p><p><b>  目錄</b></p><p><b>  目錄I</b></p><p>  第一章設(shè)計(jì)的內(nèi)容及要求1</p><p>  第一節(jié)、設(shè)計(jì)

6、題目1</p><p>  第二節(jié)、設(shè)計(jì)目的1</p><p>  第三節(jié)、設(shè)計(jì)設(shè)備1</p><p>  第四節(jié)、實(shí)驗(yàn)內(nèi)容1</p><p>  第二章設(shè)計(jì)基本原理2</p><p>  第三章FIR濾波器的MATALAB的設(shè)計(jì)2</p><p>  第一節(jié)、語音信號(hào)的

7、時(shí)域圖、頻域圖的輸出3</p><p>  第二節(jié)、啟動(dòng)濾波器設(shè)計(jì)分析器3</p><p>  第三節(jié)、濾波器設(shè)計(jì)4</p><p>  第四節(jié)、導(dǎo)出FIR濾波器系數(shù)。4</p><p>  第四章濾波器的DSP實(shí)現(xiàn)5</p><p>  第一節(jié)、數(shù)據(jù)的載入6</p><p&g

8、t;  第二節(jié)、信號(hào)的輸出6</p><p>  第五章濾波后語音信號(hào)的讀取8</p><p>  第六章設(shè)計(jì)總結(jié)8</p><p><b>  參考文獻(xiàn)9</b></p><p><b>  附錄9</b></p><p><b>  設(shè)計(jì)的內(nèi)容及

9、要求</b></p><p><b>  設(shè)計(jì)題目</b></p><p>  FIR濾波器的DSP實(shí)現(xiàn)</p><p><b>  設(shè)計(jì)目的</b></p><p>  1.熟悉數(shù)字濾波的基本原理和實(shí)現(xiàn)方法;</p><p>  2.熟悉FIR數(shù)字濾波器的設(shè)計(jì)方

10、法;</p><p>  3.熟悉FIR濾波器的DSP實(shí)現(xiàn)方法。</p><p><b>  設(shè)計(jì)設(shè)備</b></p><p>  計(jì)算機(jī);DSP硬件仿真器;SZ-DSPF開發(fā)教學(xué)平臺(tái)。</p><p><b>  實(shí)驗(yàn)內(nèi)容</b></p><p><b>  實(shí)驗(yàn)

11、的基本流程:</b></p><p>  X(t)為自己錄制的一段音頻信號(hào)(語音或者音樂),模數(shù)轉(zhuǎn)換過程即為將模擬信號(hào)轉(zhuǎn)換成數(shù)字信號(hào)的過程,數(shù)字信號(hào)處理器完成FIR濾波器的功能,濾波器的系數(shù)由MATLB設(shè)計(jì)實(shí)現(xiàn)。濾波后的數(shù)據(jù)存下來,通過數(shù)模轉(zhuǎn)換播放出來。</p><p><b>  設(shè)計(jì)基本原理</b></p><p>  本實(shí)驗(yàn)通

12、過用DSP實(shí)現(xiàn)規(guī)定的FIR濾波器,使同學(xué)們了解FIR濾波器的設(shè)計(jì)方法,及DSP上FIR濾波器的實(shí)現(xiàn)方法。</p><p>  設(shè)h(n)(n=0,1,2,3…,N-1)為濾波器的單位沖激響應(yīng)序列,輸入信號(hào)為x(n),則 濾波器就是要實(shí)現(xiàn)下列差分方程</p><p>  假設(shè)濾波器的單位脈沖響應(yīng)為h[0],h[1],h[N-1],x[k]為待濾波的輸入信號(hào),則濾波器的輸出為:</p&g

13、t;<p>  進(jìn)行濾波實(shí)際上就是計(jì)算序列的線性卷積。</p><p>  圖2.1:FIR數(shù)字濾波的結(jié)構(gòu)</p><p>  FIR濾波器的MATALAB的設(shè)計(jì)</p><p>  FIR濾波器的設(shè)計(jì)任務(wù)是選擇有限長度的h(n)。使傳輸函數(shù)H(w)滿足設(shè)計(jì)要求。FIR濾波器的設(shè)計(jì)方法有多種,如窗函數(shù)法、頻率采樣法及其它各種優(yōu)化設(shè)計(jì)方法。本設(shè)計(jì)中利用M

14、ATLABA設(shè)計(jì)濾波器,即得到濾波器系數(shù)。</p><p>  語音信號(hào)的時(shí)域圖、頻域圖的輸出</p><p>  [x,fs,bite] =wavread('D:\Windows XP 關(guān)機(jī).wav',[1024 15000]);</p><p><b>  x=x(:,1);</b></p><p>

15、  y = abs(fft(x(1:2048)));</p><p>  subplot(211);plot(x);title('原始信號(hào)');</p><p>  subplot(212);plot(y);title('頻譜信號(hào)'); </p><p>  s = round(32767* x);</p><p&

16、gt;  fid = fopen('D:\Windows XP 關(guān)機(jī).dat','w');</p><p>  fprintf(fid,'1651 1 0 0 100\n');</p><p>  for i=1:256</p><p>  fprintf(fid,'%d\n',s(i));</p

17、><p><b>  end</b></p><p>  fclose(fid); </p><p>  啟動(dòng)濾波器設(shè)計(jì)分析器</p><p>  在MATLAB的start菜單中選擇Toolboxes->Filter Design->Filter Design & Analysis Tools(fdat

18、ool),或者在命令行中輸入fdatool來啟動(dòng)濾波器設(shè)計(jì)分析器。啟動(dòng)后界面如圖2.2所示:</p><p><b>  圖2.2:</b></p><p><b>  濾波器設(shè)計(jì)</b></p><p>  在選項(xiàng)中選擇或輸入濾波器參數(shù),然后點(diǎn)擊”Design Filter”按鈕完成濾波器設(shè)計(jì)。設(shè)計(jì)成功后的結(jié)果如圖2.3

19、所示。</p><p><b>  圖2.3</b></p><p>  導(dǎo)出FIR濾波器系數(shù)。</p><p>  在fdatool中,選擇Targets->Code Composer Studio?IDE。</p><p>  在出現(xiàn)的對話框中選擇輸出文件類型為C.header file,輸出系數(shù)類型為sign

20、ed 16-bit integer,如圖2.4所示。</p><p>  點(diǎn)擊Generate按鈕,選擇路徑,即可輸出前一步設(shè)計(jì)出的FIR濾波器系數(shù)表。</p><p><b>  圖2.4</b></p><p><b>  濾波器的DSP實(shí)現(xiàn)</b></p><p>  FIR是將待濾波的數(shù)據(jù)序

21、列與濾波系數(shù)序列相乘后再相加運(yùn)算,同時(shí)要模仿FIR結(jié)構(gòu)中的延遲線將數(shù)據(jù)在存儲(chǔ)器中滑動(dòng) 以往的通用處理器或單片機(jī)在進(jìn)行此類乘加運(yùn)算的時(shí)候,都會(huì)耗費(fèi)大量的CPU和總線資源DSP作為專用信號(hào)處理芯片,它在結(jié)構(gòu)上針對數(shù)字信號(hào)處理的特點(diǎn)進(jìn)行了改進(jìn)和優(yōu)化,并且增加了特殊的指令專門用于數(shù)字處理。</p><p>  設(shè)計(jì)好FIR濾波器后,如何寫入到DSP中,使DSP按照設(shè)計(jì)要求實(shí)現(xiàn)低通濾波的效果,本實(shí)驗(yàn)的濾波算法是直接從TMS

22、320C54x的DSPDIB庫中調(diào)用FIR濾波函數(shù)。DSPLIB是一個(gè)為C語言程序員開發(fā)TMS320C54x而建立的經(jīng)過優(yōu)化的DSP函數(shù)庫。在工程中要添加頭文件“*.h ”,在C源程序中要包含頭文件。</p><p><b>  數(shù)據(jù)的載入</b></p><p><b>  頭文件的更改</b></p><p>  將頭

23、文件中的11個(gè)點(diǎn)的數(shù)據(jù)復(fù)制到test.dat文件中</p><p><b>  載入語音數(shù)據(jù)</b></p><p><b>  信號(hào)的輸出</b></p><p><b>  觀察輸入輸出信號(hào)</b></p><p><b>  生成輸入輸出圖像</b>

24、</p><p><b>  觀察頻域輸入圖像</b></p><p><b>  觀察頻域輸入圖像</b></p><p>  濾波后語音信號(hào)的讀取</p><p>  在MATALAB中將之前濾波后的數(shù)據(jù)轉(zhuǎn)換為語音信號(hào)并試聽,比較其與濾波前的語音信號(hào)的差別。</p><p&g

25、t;<b>  代碼如下:</b></p><p>  fid = fopen('D:\Windows XP 關(guān)機(jī).dat');</p><p>  y=fscanf(fid,'%x');</p><p>  y=y/32768;</p><p>  wavwrite(y,22050,16

26、,'D:\Windows XP 關(guān)機(jī)后.wav');</p><p><b>  設(shè)計(jì)總結(jié)</b></p><p>  通過這將近一周的數(shù)字信號(hào)處理的課程設(shè)計(jì),我對FIR濾波器的性質(zhì)又有了一個(gè)更加充分的認(rèn)識(shí),同時(shí)也對它的應(yīng)用有了一個(gè)大體的認(rèn)識(shí)。這樣將會(huì)更加激勵(lì)我學(xué)習(xí)相關(guān)的知識(shí),不斷的將所學(xué)的知識(shí)用于實(shí)踐。這讓我感受到只有在了解課本知識(shí)的前提下,才能更好

27、的應(yīng)用這個(gè)工具。這次設(shè)計(jì)使我了解了MATLAB的使用方法,學(xué)會(huì)分析濾波器的優(yōu)劣和性能,提高了分析和動(dòng)手實(shí)踐能力。在設(shè)計(jì)的過程中,我也認(rèn)識(shí)到了自己所學(xué)知識(shí)的不足。這也讓我再次認(rèn)識(shí)到知識(shí)是無盡的,只有不斷的充實(shí)自己、完善自己的知識(shí)理論體系,才能夠更好的勝任自己以后的工作。</p><p>  在設(shè)計(jì)的過程中,我也得到了我們設(shè)計(jì)小組的成員和很多同學(xué)的幫組。這也加強(qiáng)了我與其他同學(xué)合作的能力??傊瑢τ谶@樣的課程設(shè)計(jì)活動(dòng),

28、我收獲了很多東西,也將使我在以后的學(xué)習(xí)、工作中更加輕松和積極。這也正是參加這次活動(dòng)的目的和意義。</p><p><b>  參考文獻(xiàn)</b></p><p>  1)高海林,錢滿義。DSP技術(shù)及其應(yīng)用。清華大學(xué)出版社,北京交通大學(xué)出版社。2009</p><p>  (2)張雄偉,曹鐵勇,陳亮,楊吉斌等。 DSP芯片的原理與開發(fā)應(yīng)用(第4版)

29、。 電子工業(yè)出版社,2009</p><p>  (3)陳金鷹。DSP技術(shù)及應(yīng)用。機(jī)械工業(yè)出版社,2004</p><p><b>  附錄</b></p><p>  FIR函數(shù)調(diào)用格式為: </p><p>  short oflag = fir (DATA *x, DATA *h, DATA *r, DATA **

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論