2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩18頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、<p>  設(shè)計(jì)題目 四人搶答器 </p><p><b>  摘要</b></p><p>  在許多比賽活動(dòng)中,為了準(zhǔn)確、公正、直觀地判斷出第一搶答者,通常設(shè)置一臺搶答器。通過搶答器的數(shù)顯,燈光和音響等手段指示出第一搶答者。同時(shí)還可以設(shè)置定時(shí)、記分犯規(guī)及獎(jiǎng)懲等多種功能。</p><p>  本設(shè)計(jì)采用手動(dòng)搶答的方式,有人搶答

2、后,系統(tǒng)自動(dòng)封鎖其他人的搶答按鈕,使其不能再搶答,從而實(shí)現(xiàn)搶答功能。</p><p>  數(shù)字搶答器由主體電路與擴(kuò)展電路組成。優(yōu)先編碼電路、鎖存器、譯碼電路將參賽隊(duì)的輸入信號在顯示器上輸出;用控制電路和主持人開關(guān)啟動(dòng)報(bào)警電路,以上兩部分組成主體電路。通過定時(shí)電路和譯碼電路將秒脈沖產(chǎn)生的信號在顯示器上輸出實(shí)現(xiàn)計(jì)時(shí)功能,構(gòu)成擴(kuò)展電路。經(jīng)過模擬仿真,下載到開發(fā)板等工作后數(shù)字搶答器成型。</p><p

3、><b>  關(guān)鍵字 </b></p><p>  搶答電路 定時(shí)電路 報(bào)警電路 時(shí)序控制</p><p><b>  目錄</b></p><p>  摘要·············&#

4、183;····································

5、····································

6、3;···················1</p><p>  第1章 概述 ············&

7、#183;····································

8、;····································

9、83;···3</p><p>  第2章 課程設(shè)計(jì)任務(wù)及要求 ···························

10、;·································4</p><p>

11、;  2.1 設(shè)計(jì)任務(wù) ··································

12、83;····································&

13、#183;·······4</p><p>  2.2 設(shè)計(jì)要求 ·······················&

14、#183;····································

15、;···················4</p><p>  第3章 系統(tǒng)設(shè)計(jì) ············

16、;····································

17、83;·····························5</p><p>  3.1設(shè)計(jì)方案 ·

18、3;····································&#

19、183;····································

20、·····5</p><p>  3.2 系統(tǒng)設(shè)計(jì) ··························&

21、#183;····································

22、;··················5</p><p>  3.2.1 結(jié)構(gòu)框圖及說明 ············

23、····································

24、3;········5</p><p>  3.2.2 系統(tǒng)原理圖及工作原理 ·····················&#

25、183;·······················6</p><p>  3.3單元電路設(shè)計(jì)·······

26、83;····································&

27、#183;·······························7 </p><p>

28、;  第4章 軟件仿真 ··································

29、3;····································&#

30、183;·········12</p><p>  4.1 仿真電路圖 ·····················&

31、#183;····································

32、;····················12</p><p>  4.2 仿真過程 ···········

33、;····································

34、83;··································12</p>

35、<p>  4.3 仿真結(jié)果 ·································&

36、#183;····································

37、;············14</p><p>  第5章 安裝調(diào)試 ···················

38、;····································

39、83;·························14</p><p>  5.1 安裝調(diào)試過程 ·····&

40、#183;····································

41、;································14</p><p>  5.

42、2 故障分析 ···································

43、83;····································&

44、#183;·········15</p><p>  第6章 心得體會(huì) ·····················&

45、#183;····································

46、;························16</p><p>  附錄 使用元件清單 ······

47、3;····································&#

48、183;··································18</p>

49、<p>  參考文獻(xiàn)··································

50、;····································

51、83;··················18</p><p><b>  第1章 概述</b></p><p>  隨著社會(huì)的不斷發(fā)展,人們的生活水平也在不斷的提高人們不斷要滿足物質(zhì)上

52、的要求,同時(shí)對精神上的追求也在不斷的提高,現(xiàn)在的社會(huì)是一個(gè)娛樂的社會(huì)?,F(xiàn)在各電視臺的活動(dòng)和課外活動(dòng)都很多。人們在參加活動(dòng)的時(shí)候都對審判有很多的意見,所以為了比賽的準(zhǔn)確和公正,就需要有儀器的輔佐。</p><p>  智力競賽搶答器就是一種活潑的有趣的供人們娛樂的游戲裝置,通過搶答方式不僅能引起參賽者和觀眾的興趣,而且能夠提高參賽者的敏捷性,同時(shí)我們在參與中能夠增加一些生活常識和科學(xué)知識,因此,在許多比賽活動(dòng)中為了

53、準(zhǔn)確,公正的進(jìn)行每一場比賽,特別設(shè)置了一臺具有顯示第一搶答者并鎖定、犯規(guī)警告等多種功能的搶答器,該設(shè)計(jì)針對各種要求設(shè)計(jì)出可供八名選手參賽使用的數(shù)字式競賽搶答器,適用于各大中小電視臺,學(xué)校等單位舉行的智力競賽。數(shù)字電路組成的數(shù)字系統(tǒng)工作可靠,精度較高,抗干擾能力很強(qiáng),所以智力競賽搶答器的設(shè)計(jì)就有數(shù)字電路來控制。</p><p>  第2章 課程設(shè)計(jì)任務(wù)及要求</p><p>  2.1 設(shè)計(jì)

54、任務(wù) </p><p>  設(shè)計(jì)一個(gè)四位智力競賽搶答器。準(zhǔn)確地理解有關(guān)要求,獨(dú)立完成系統(tǒng)設(shè)計(jì),要求所設(shè)計(jì)的電路具有以下功能:</p><p> ?。?)設(shè)計(jì)4組參賽的搶答器,每組設(shè)置一個(gè)搶答按鈕。(2) 給節(jié)目主持人設(shè)置一個(gè)控制開關(guān)S,這個(gè)開關(guān)由主持人控制,進(jìn)行清零和搶答使能。(3) 搶答器具有鎖存與顯示功能。即選手按動(dòng)按鈕,鎖存相應(yīng)的編號,并在LED數(shù)碼管上顯示。</p>

55、;<p> ?。?) 搶答器具有搶答計(jì)時(shí)功能,且一次搶答的時(shí)間由主持人設(shè)定(如30秒)。</p><p><b>  2.2 設(shè)計(jì)要求</b></p><p>  1.分析設(shè)計(jì)任務(wù),擬定多種設(shè)計(jì)方案,根據(jù)當(dāng)時(shí)的制作條件,選定其中的一種方案繪制設(shè)計(jì)系統(tǒng)框圖和設(shè)計(jì)流程。</p><p>  2.設(shè)計(jì)各部分單元電路圖(或VHDL)描述。

56、計(jì)算參數(shù),選定元器件型號、確定數(shù)量,提出元件清單。</p><p>  3.安裝、調(diào)試硬件電路,或制作以FPGA/CPLD為基礎(chǔ)的專用集成電路芯片ASIC。</p><p>  4.電路測試、分析所要求的各項(xiàng)功能和指標(biāo),或?qū)HDL描述的電路作功能仿真和時(shí)序仿真,對ASIC芯片作脫機(jī)運(yùn)行。</p><p>  5.運(yùn)行制作的硬件電路,操作各項(xiàng)設(shè)計(jì)功能是否正常穩(wěn)定,交

57、驗(yàn)并演示所設(shè)計(jì)制作的電路裝置</p><p>  6 總結(jié)設(shè)計(jì)中各主要環(huán)節(jié)的資料,整理打印出規(guī)范的設(shè)計(jì)報(bào)告。</p><p>  第3章 系統(tǒng)設(shè)計(jì)</p><p><b>  3.1設(shè)計(jì)方案</b></p><p>  該電路由搶答模塊,鎖存模塊,定時(shí)模塊,報(bào)警模塊組成。</p><p>  

58、搶答模塊:由5個(gè)按鈕組成,包括4個(gè)選手按鈕和1個(gè)主持人按鈕。當(dāng)主持人按鈕為低電平時(shí),進(jìn)行電路清零;為高電平時(shí),選手開始搶答。當(dāng)?shù)谝粋€(gè)選手搶到題后,發(fā)光二極管亮,顯示其編號,并且揚(yáng)聲器響。</p><p>  鎖存模塊:當(dāng)?shù)谝粋€(gè)選手搶到題后,若再有選手按按鈕,不再識別其搶答信號。</p><p>  定時(shí)模塊:主持人發(fā)出搶答信號后,電路進(jìn)行自動(dòng)計(jì)時(shí),規(guī)定選手搶答在一定時(shí)間內(nèi)完成。</p

59、><p>  報(bào)警模塊:與定時(shí)模塊共同作用,如給定時(shí)間內(nèi)無人搶答,揚(yáng)聲器響應(yīng)報(bào)警,該題作廢,進(jìn)行下一題搶答。</p><p><b>  3.2 系統(tǒng)設(shè)計(jì) </b></p><p>  3.2.1 結(jié)構(gòu)框圖及說明 </p><p>  分析各項(xiàng)設(shè)計(jì)要求后,可繪制如下系統(tǒng)原理框圖</p><p>&l

60、t;b>  結(jié)構(gòu)圖說明</b></p><p>  第一信號鑒別電路是搶答器的關(guān)鍵電路,其任務(wù)是鑒別并鎖存第一搶答者的信號,這類電路可以選用各種觸發(fā)器、鎖存器構(gòu)成,也可用VHDL語言語言自己編寫。其他模塊的功能如前面所述,這里不再重復(fù)。</p><p>  3.2.2 系統(tǒng)原理圖及工作原理 </p><p><b>  工作原理:<

61、;/b></p><p>  主持人按鈕(Q0)撥向低電平,U1,U2的清零端CLR為低電平,實(shí)現(xiàn)電路清零,當(dāng)主持人按鈕撥向高電平,CLR高電平無效,此刻搶答開始,由于Q1~Q4原始狀態(tài)為高電平,使得四輸入與門輸出為高電平,cp=‘1’,不能觸發(fā)。 當(dāng)?shù)谝粋€(gè)人按下?lián)尨鸢粹o,輸入低電平,四輸入與門電平值由‘1’跳變?yōu)椤?’,此刻cp=‘0’,觸發(fā)U1,使得U1輸出端q=‘1’,此后無論其他選手再按按鈕,

62、cp=‘0’不變,實(shí)現(xiàn)對其他選手的鎖存功能,U1輸出q也一直保持為1,所以對于U2器件,只有一個(gè)脈沖觸發(fā),其輸出q1~q4僅為s0~s1的第一個(gè)狀態(tài),在經(jīng)U3器件進(jìn)行轉(zhuǎn)換后,由發(fā)光二極管輸出(點(diǎn)亮第一個(gè)搶答選手對應(yīng)的發(fā)光二極管)。而答題計(jì)時(shí)部分,由U2的輸出端alm=‘1’使能U4,進(jìn)行計(jì)時(shí),計(jì)時(shí)輸出由發(fā)光二極管顯示。當(dāng)在規(guī)定的時(shí)間沒有答題結(jié)束,則蜂鳴器發(fā)出報(bào)警聲。</p><p><b>  單元電路

63、設(shè)計(jì)</b></p><p>  (1). Catch 元件</p><p>  器件生成所使用的VHDL語言:</p><p>  library IEEE;</p><p>  use IEEE.STD_LOGIC_1164.ALL;</p><p>  use IEEE.STD_LOGIC_ARITH

64、.ALL;</p><p>  use IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  entity catch is</p><p>  Port(cp : in std_logic;</p><p>  clr : in std_logic;</p><p>  q :out std_

65、logic);</p><p>  end catch;</p><p>  architecture Behavioral of catch is</p><p><b>  begin</b></p><p>  process(cp,clr)</p><p><b>  begin

66、</b></p><p>  if clr ='0' then</p><p><b>  q<='0';</b></p><p>  elsif cp'event and cp='0'then</p><p><b>  q<=&

67、#39;1';</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  end Behavioral;</p><p><b>  器件描述:</b></p><p>  clr:clr

68、=‘0’,清零,且q=‘0’;clr=‘1’,無效。</p><p>  cp:cp=‘1’,q=‘0’;cp=‘0’,q=‘1’;</p><p>  (2). Lock 元件</p><p>  器件生成所使用的VHDL語言:</p><p>  library IEEE;</p><p>  use IEEE.S

69、TD_LOGIC_1164.ALL;</p><p>  use IEEE.STD_LOGIC_ARITH.ALL;</p><p>  use IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  entity lock is</p><p>  Port(d1 : in std_logic;</p>

70、<p>  d2 : in std_logic;</p><p>  d3 : in std_logic;</p><p>  d4 : in std_logic;</p><p>  clk : in std_logic;</p><p>  clr : in std_logic;</p><p>  q1

71、 : out std_logic;</p><p>  q2 : out std_logic;</p><p>  q3 : out std_logic;</p><p>  q4 : out std_logic;</p><p>  alm : out std_logic);</p><p><b>  e

72、nd lock;</b></p><p>  architecture Behavioral of lock is</p><p><b>  begin</b></p><p>  process(clk)</p><p><b>  begin</b></p><

73、p>  if clr='0' then -------低電平有效</p><p><b>  q1<='0';</b></p><p><b>  q2<='0';</b></p><p><b>  q3<='0

74、';</b></p><p><b>  q4<='0';</b></p><p><b>  alm<='0';</b></p><p>  elsif clk'event and clk='1' then</p>&

75、lt;p><b>  q1<=d1;</b></p><p><b>  q2<=d2;</b></p><p><b>  q3<=d3;</b></p><p><b>  q4<=d4;</b></p><p><

76、b>  alm<='1';</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  end Behavioral; </p><p><b>  器件描述:</b><

77、;/p><p>  clk:當(dāng)clk=‘0’時(shí),q1~q4=‘0’,alm=‘0’;當(dāng)clk=‘1’時(shí), q1<=d1;q2<=d2;q3<=d3;q4<=d4;alm=‘1’;</p><p>  d1~d4:d1~d4=s1~s4;</p><p>  (3). ch41a 元件</p><p>  器件生成所使用的V

78、HDL語言:</p><p>  library IEEE;</p><p>  use IEEE.STD_LOGIC_1164.ALL;</p><p>  use IEEE.STD_LOGIC_ARITH.ALL;</p><p>  use IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>

79、;  entity ch41a is</p><p>  Port(d1 : in std_logic;</p><p>  d2 : in std_logic;</p><p>  d3 : in std_logic;</p><p>  d4 : in std_logic;</p><p>  q : out st

80、d_logic_vector(3 downto 0));</p><p>  end ch41a;</p><p>  architecture Behavioral of ch41a is</p><p><b>  begin</b></p><p>  process(d1,d2,d3,d4)</p>

81、<p>  variable tmp:std_logic_vector(3 downto 0);</p><p><b>  begin</b></p><p>  tmp:=d1&d2&d3&d4;</p><p>  case tmp is</p><p>  when "

82、;0111"=>q<="0001";</p><p>  when "1011"=>q<="0010";</p><p>  when "1101"=>q<="0010";</p><p>  when "111

83、0"=>q<="0100";</p><p>  when others=>q<="1111";</p><p><b>  end case;</b></p><p>  end process;</p><p>  end Behavioral

84、;</p><p><b>  器件描述:</b></p><p>  該器件主要實(shí)現(xiàn)d1~d4輸入的轉(zhuǎn)換功能,也就是將最原始的搶答輸入進(jìn)行轉(zhuǎn)換,通過該器件,將原始搶答時(shí)刻s1~s4狀態(tài)輸出至發(fā)光二極管,也就最終實(shí)現(xiàn)誰先搶答,對應(yīng)的發(fā)光二極管點(diǎn)亮。</p><p>  (4). Count 元件</p><p>  器件

85、生成所使用的VHDL語言:</p><p>  library IEEE;</p><p>  use IEEE.STD_LOGIC_1164.ALL;</p><p>  use IEEE.STD_LOGIC_ARITH.ALL;</p><p>  use IEEE.STD_LOGIC_UNSIGNED.ALL;</p>&

86、lt;p>  entity count is</p><p>  Port(clk : in std_logic;</p><p>  en : in std_logic;</p><p>  h : out std_logic_vector(3 downto 0);</p><p>  l : out std_logic_vector

87、(3 downto 0);</p><p>  sound : out std_logic);</p><p>  end count;</p><p>  architecture Behavioral of count is</p><p><b>  begin</b></p><p>  

88、process(clk,en)</p><p>  variable hh,ll:std_logic_vector(3 downto 0);</p><p><b>  begin</b></p><p>  if clk'event and clk='1' then</p><p>  if

89、en='1' then</p><p>  if ll=0 and hh=0 then</p><p>  sound<='1';</p><p>  elsif ll=0 then</p><p>  ll:="1001";</p><p><b&g

90、t;  hh:=hh-1;</b></p><p><b>  else</b></p><p><b>  ll:=ll-1;</b></p><p><b>  end if;</b></p><p><b>  else</b></

91、p><p>  sound<='0';</p><p>  hh:="1001";</p><p>  ll:="1001";</p><p><b>  end if;</b></p><p><b>  end if;<

92、/b></p><p><b>  h<=hh;</b></p><p><b>  l<=ll;</b></p><p>  end process;</p><p>  end Behavioral;</p><p><b>  器件描述:&l

93、t;/b></p><p>  該器件主要是一個(gè)計(jì)時(shí)器件,計(jì)時(shí)可以由主持人自己設(shè)定, h為高位,l為地位,由alm輸入到使能端使能,開始計(jì)時(shí),當(dāng)外部來一個(gè)時(shí)鐘脈沖,自減一,當(dāng)?shù)褂?jì)時(shí)結(jié)束時(shí),sound=‘1’,使得報(bào)警器響。</p><p>  第4章 軟件仿真</p><p><b>  4.1 仿真電路圖</b></p

94、><p><b>  4.2 仿真過程</b></p><p>  編譯無誤之后,將以上原理圖生成VHDL語言,繼而生成VHDTEST文件,將激勵(lì)信號輸入VHDTEST文件,進(jìn)行仿真。</p><p><b>  激勵(lì)信號:</b></p><p>  s0 <= '0';<

95、/p><p>  s1 <= '1';</p><p>  s2 <= '1';</p><p>  s3 <= '1';</p><p>  s4 <= '1';</p><p>  wait for 5ns;</p>

96、<p>  s0 <= '1';</p><p>  wait for 2ns;</p><p>  s1 <= '0';</p><p>  wait for 2ns;</p><p>  s2 <= '0';</p><p>  wait

97、for 2ns;</p><p>  s3 <= '0';</p><p>  wait for 2ns;</p><p>  s4 <= '0';</p><p>  wait for 5ns;</p><p>  s0 <= '0';</p&g

98、t;<p>  s1 <= '1';</p><p>  s2 <= '1';</p><p>  s3 <= '1';</p><p>  s4 <= '1';</p><p>  wait for 5ns;</p><

99、p>  s0 <= '1';</p><p>  wait for 2ns;</p><p>  s2 <= '0';</p><p>  wait for 2ns;</p><p>  s1 <= '0';</p><p>  wait for

100、2ns;</p><p>  s3 <= '0';</p><p>  wait for 2ns;</p><p>  s4 <= '0';</p><p>  wait for 5ns;</p><p>  s0 <= '0';</p>

101、<p>  s1 <= '1';</p><p>  s2 <= '1';</p><p>  s3 <= '1';</p><p>  s4 <= '1';</p><p>  wait for 5ns;</p><p>

102、;  s0 <= '1';</p><p>  wait for 2ns;</p><p>  s3 <= '0';</p><p>  wait for 2ns;</p><p>  s1 <= '0';</p><p>  wait for 2ns;

103、</p><p>  s2 <= '0';</p><p>  wait for 2ns;</p><p>  s4 <= '0';</p><p>  wait for 5ns;</p><p>  s0 <= '0';</p><

104、p>  s1 <= '1';</p><p>  s2 <= '1';</p><p>  s3 <= '1';</p><p>  s4 <= '1';</p><p>  wait for 5ns;</p><p>  s

105、0 <= '1';</p><p>  wait for 2ns;</p><p>  s4 <= '0';</p><p>  wait for 2ns;</p><p>  s1 <= '0';</p><p>  wait for 2ns;<

106、/p><p>  s2 <= '0';</p><p>  wait for 2ns;</p><p>  s3 <= '0';</p><p>  wait for 5ns;</p><p><b>  4.3 仿真結(jié)果</b></p>&l

107、t;p><b>  仿真波形如下:</b></p><p>  經(jīng)觀察檢測,仿真結(jié)果符合預(yù)定要求。</p><p><b>  第5章 安裝調(diào)試</b></p><p>  5.1 安裝調(diào)試過程</p><p>  根據(jù)要求,下載原理圖設(shè)計(jì)如下</p><p>  經(jīng)計(jì)

108、算,選用兩個(gè)256分頻,一個(gè)16分頻,即1M分頻,獲得所需脈沖;將count的sound引腳接蜂鳴器,實(shí)現(xiàn)報(bào)警;將Ch41a及Count的輸出通過J4B _4S接到8個(gè)LED上,其中,前4個(gè)用來顯示搶到題的選手序號,后4個(gè)用來顯示時(shí)間,實(shí)現(xiàn)答題倒計(jì)時(shí)。</p><p><b>  5.2 故障分析</b></p><p>  下載過程中有以下問題</p>

109、<p> ?。?)原理圖生成VHDL語言時(shí),出現(xiàn)錯(cuò)誤,經(jīng)檢查,原因主要有:部分輸出管腳為空;端口未定義屬性。</p><p> ?。?)蜂鳴器聲音刺耳</p><p>  經(jīng)查找資料,這是頻率選擇不合適的問題,</p><p> ?。?)未答題前,指示燈全亮</p><p>  程序編寫問題,由于疏忽,未輸入信號前,ch41a的q

110、[3..0]引腳輸出,初始狀態(tài)設(shè)為了“1111”,應(yīng)設(shè)為“0000”,這樣,未答題前,前4個(gè)LED就全滅了,符合要求。</p><p><b>  第6章 心得體會(huì)</b></p><p>  這次實(shí)驗(yàn)總共經(jīng)歷了兩周的時(shí)間,從功能需求分析到功能時(shí)序邏輯分析,從程序的設(shè)計(jì)到程序的調(diào)試,從系統(tǒng)的仿真到系統(tǒng)的調(diào)試、下載,最后終于完成了這次具有實(shí)際使用價(jià)值的實(shí)驗(yàn)。</

111、p><p>  在設(shè)計(jì)中我運(yùn)用自己平時(shí)學(xué)到的一些知識,以及自己在課下所查找的進(jìn)行設(shè)計(jì),剛開始遇到很多困難,包括對VHDL語言的不熟悉,后來一起和同學(xué)研究,而一些課程設(shè)計(jì)中的故障,也請同學(xué)幫忙參考一下,最終找到了解決問題的答案。我感覺自己在設(shè)計(jì)方面提高很大,特別在設(shè)計(jì)定時(shí)電路時(shí)由于它的功能有限,但是電路又感覺太龐大了,我就試著能不能用更簡便的方法去解決這個(gè)問題,對電路的原理反復(fù)的研究,最終找到了方法,也進(jìn)一步對電路得到

112、了深刻的認(rèn)識和了解,同時(shí)對以前所學(xué)的知識有了更深刻的認(rèn)識,并進(jìn)一步的得到了鞏固和提高,充分的做到了理論聯(lián)系實(shí)際,也使我認(rèn)識到理論與實(shí)際的差別,通過該課程設(shè)計(jì),不但對搶答器有了較為全面的認(rèn)識,而且相關(guān)的知識也有了足夠的掌握,我感覺最重要的還是設(shè)計(jì)思維的形成。更發(fā)現(xiàn)自己在平時(shí)學(xué)習(xí)中的缺陷。對以后的學(xué)習(xí)也有很大的幫助。</p><p>  兩周的課程設(shè)計(jì),我所收獲的不僅是學(xué)習(xí)知識方面,更多的是生活方面。我了解到,在我們

113、生活中,應(yīng)該學(xué)會(huì)與別人共同分享,分享知識,分享問題,這樣更有助于我們的交流,有利于我們共同進(jìn)步,有利于我們對學(xué)習(xí)養(yǎng)成良好的興趣。</p><p>  最后,我要感謝那些帶我們課程設(shè)計(jì)的老師們。由于我們之前沒有接觸過VHDL語言,這就給你們帶來了很大的麻煩,感謝你們對我們的耐心,感謝你們對我們的鼓勵(lì),讓我們有信心繼續(xù)下去,成功走到最后。</p><p><b>  附錄:</

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論