版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、<p> 課 程 設(shè) 計</p><p> 課程設(shè)計名稱: EDA課程設(shè)計 </p><p> 電子信息工程技術(shù)專業(yè)課程設(shè)計任務(wù)書</p><p> 說明:本表由指導(dǎo)教師填寫,由教研室主任審核后下達給選題學(xué)生,裝訂在設(shè)計(論文)首頁</p><p><b> 1 設(shè)計任務(wù)及要求</b&g
2、t;</p><p> 本次課程設(shè)計是設(shè)計一個8路彩燈控制器,能夠控制8路彩燈按照兩種節(jié)拍,四種花型循環(huán)變化。兩種節(jié)拍分別為0.25s和0.5s。四種花型分別是:</p><p> ?。?)8路彩燈分成兩半,從左至右順次漸漸點亮,全亮后則全滅。</p><p> 從中間到兩邊對稱地漸漸點亮,全亮后仍由中間向兩邊逐次熄滅。</p><p>
3、 8路彩燈從左至右按次序依次點亮,全亮后逆次序依次熄滅。</p><p> 從兩邊到中間對稱地漸亮,全亮后全滅。</p><p> 設(shè)計完成后,在試驗箱上進行仿真,與實驗需要達到的要求進行對比,驗證是否正確。</p><p> 通過本次課程設(shè)計,需達到以下目的:</p><p> 熟悉Max+plus Ⅱ軟件的使用方法,使自己能更加
4、熟練的操作. 并能熟悉使用原理圖輸入設(shè)計法和 VHDL 文本輸入設(shè)計法進行設(shè)計,完成設(shè)計任務(wù).</p><p> 增強自己實際動手能力,獨立解決問題的能力.</p><p> 通過對課程設(shè)計對以前所學(xué)的知識進行更新及鞏固.</p><p> 2設(shè)計原理及總體框圖</p><p><b> 設(shè)計原理:</b><
5、;/p><p><b> 彩燈狀態(tài)圖</b></p><p><b> 總體框圖</b></p><p><b> CLK</b></p><p> 分頻模塊:把時鐘脈沖二分頻,得到另一個時鐘脈沖,讓這兩種時鐘脈沖來交替控制花型的速度。</p><p&
6、gt; 二選一模塊:選擇兩種頻率中的一個控制彩燈的花型。</p><p> 8路彩燈的四種花型控制模塊:整個系統(tǒng)的樞紐,顯示彩燈亮的情況。</p><p><b> 3 程序設(shè)計</b></p><p><b> 3.1分頻模塊設(shè)計</b></p><p> 程序:library ieee
7、;</p><p> use ieee.std_logic_1164.all;</p><p> entity fenpin2 is</p><p> port( clk:in std_logic;</p><p> clkk:out std_logic);</p><p> end fenpin2;<
8、/p><p> architecture behav of fenpin2 is</p><p><b> begin</b></p><p> process(clk)</p><p> variable clkk1:std_logic:='0';</p><p><b
9、> begin</b></p><p> if clk'event and clk='1' then clkk1:= not clkk1;</p><p><b> end if;</b></p><p> clkk<=clkk1;</p><p> end
10、process;</p><p> end behav;</p><p> 3.2二選一模塊設(shè)計</p><p> 程序:library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> entity mux21 is</p><p&
11、gt; port(a,b,s:in std_logic;</p><p> y:out std_logic);</p><p> end mux21;</p><p> architecture behave of mux21 is</p><p><b> begin</b></p><p
12、> process(a,b,s)</p><p><b> begin</b></p><p> if s='0' then y<=a;</p><p> else y<=b;</p><p><b> end if;</b></p>&
13、lt;p> end process;</p><p> end behave;</p><p> 3.3 8路彩燈的四種花型控制模塊設(shè)計</p><p> 程序: Library ieee;</p><p> USE IEEE.STD_LOGIC_1164.ALL;</p><p> USE IEE
14、E.STD_LOGIC_unsigned.ALL;</p><p> entity color8 is</p><p> port(clk,rst :in std_logic;</p><p> q:out std_logic_vector(7 downto 0));</p><p><b> end;</b>&
15、lt;/p><p> architecture a of color8 is</p><p> signal s:std_logic_vector(4 downto 0);</p><p><b> begin</b></p><p> process(s,clk)</p><p><b
16、> begin</b></p><p> if rst='1' then s<="00000";</p><p> elsif clk'event and clk= '1' then</p><p> if s="11111" then</p>
17、<p> s<="00000";</p><p> else s<=s+1;</p><p><b> end if;</b></p><p><b> case s is</b></p><p> when "00000"
18、=>q<="00000000";</p><p> when "00001"=>q<="10001000";</p><p> when "00010"=>q<="11001100";</p><p> when "
19、00011"=>q<="11101110";</p><p> when "00100"=>q<="11111111";</p><p> when "00101"=>q<="00000000";</p><p>
20、when "00110"=>q<="00011000";</p><p> when "00111"=>q<="00111100";</p><p> when "01000"=>q<="01111110";</p>
21、<p> when "01001"=>q<="11111111";</p><p> when "01010"=>q<="11100111";</p><p> when "01011"=>q<="11000011";&
22、lt;/p><p> when "01100"=>q<="10000001";</p><p> when "01101"=>q<="00000000";</p><p> when "01110"=>q<="10000
23、000";</p><p> when "01111"=>q<="11000000";</p><p> when "10000"=>q<="11100000";</p><p> when "10001"=>q<=
24、"11110000";</p><p> when "10010"=>q<="11111000";</p><p> when "10011"=>q<="11111100";</p><p> when "10100"
25、=>q<="11111110";</p><p> when "10101"=>q<="11111111";</p><p> when "10110"=>q<="11111110";</p><p> when "
26、10111"=>q<="11111100";</p><p> when "11000"=>q<="11111000";</p><p> when "11001"=>q<="11110000";</p><p>
27、when "11010"=>q<="11100000";</p><p> when "11011"=>q<="11000000";</p><p> when "11100"=>q<="10000000";</p>
28、<p> when "11101"=>q<="00000000";</p><p> when others=>null;</p><p><b> end case;</b></p><p><b> end if;</b></p>
29、<p> end process;</p><p><b> end;</b></p><p><b> 4 編譯及仿真</b></p><p> 4.1分頻模塊仿真波形:</p><p> 右上圖可知,輸出clkk的頻率只有clk的一半,所以二分頻的目的達到,程序無誤。用用二
30、分頻可組成四分頻和其他分頻。</p><p> 4.2二選一模塊仿真波形:</p><p> 此模塊常用,無問題。</p><p> 4.3 8路彩燈的四種花型控制模塊仿真波形:</p><p> 由上波形圖可知,彩燈是按照四種花型變化的。此模塊靈活多變,可添加更多的花型。</p><p> 5 硬件調(diào)試與結(jié)
31、果分析</p><p><b> 測試結(jié)果分析</b></p><p> 1.系統(tǒng)電路圖畫好后,先進性波形仿真,波形仿真圖如下:</p><p><b> 彩燈狀態(tài)圖</b></p><p> 2.EDA實驗儀采用的是ACEX1K系列中的EP1K30TC44-3 芯片,所以在選擇芯片時,應(yīng)選
32、對芯片。</p><p> 3.引腳設(shè)定(Assign—Pin/Location/Chip)</p><p> 4.保存,再次編譯,注意是否有警告和錯誤。</p><p> 5.無誤后,連接試驗箱與電腦。</p><p> 6.打開實驗板電源,下載編程,執(zhí)行Max+PlusⅡ—Programmer—Configure(注意下載口的選擇
33、――ByteBlaster 口,下載過程中要等到數(shù)碼管顯示變?yōu)?且穩(wěn)定后再進行操作),驗證結(jié)果是否正確。 此實驗選擇實驗電路結(jié)構(gòu)No.1,看到上面八個LED燈按照上面表格的狀態(tài)進行變化,符合課程設(shè)計要求。</p><p><b> 6 參考文獻</b></p><p> [1] 潘松.EDA技術(shù)實用教程(第三版).科學(xué)出版社,2010-7.</p>
34、<p> [2] 譚會生.EDA技術(shù)與應(yīng)用.西安電子科技大學(xué)出版社</p><p> [3] 焦素敏.EDA應(yīng)用技術(shù).北京:清華學(xué)出版社,2005</p><p><b> 心得體會</b></p><p> 第一,通過本次課程設(shè)計,我熟悉和掌握了課程設(shè)計的基本方法和步驟,提高了綜合實驗?zāi)芰Α?lt;/p><p
35、> 第二,本次課程設(shè)計加深了我對EDA技術(shù)的進一步深入理解。熟悉了VHDL程序編寫和原理圖輸入法的優(yōu)缺點,為我以后更好地運用MAX+Plus II奠定了良好的基礎(chǔ)。</p><p> 第三,通過這次課程設(shè)計,使我受益頗多。了解到課程實習(xí)設(shè)計是開端,連接是關(guān)鍵,測試是必須。既鞏固了課堂上學(xué)到的理論知識,又掌握了常用集成電路芯片的使用。在此基礎(chǔ)上學(xué)習(xí)了系統(tǒng)設(shè)計的基本思想和方法,學(xué)會了科學(xué)地分析實際問題,通過
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- eda課程設(shè)計---彩燈控制器
- eda課程設(shè)計彩燈控制器
- eda課程設(shè)計-彩燈控制器
- eda課程設(shè)計--多路彩燈控制器
- 【課程設(shè)計】節(jié)日彩燈控制器設(shè)計
- 《eda技術(shù)》課程設(shè)計報告----彩燈控制器
- eda課程設(shè)計--多路彩燈控制器的設(shè)計
- eda課程設(shè)計一個彩燈控制器
- 中斷控制的流水節(jié)日彩燈控制器課程設(shè)計
- 單片機課程設(shè)計--節(jié)日彩燈控制器設(shè)計
- 單片機節(jié)日彩燈控制器課程設(shè)計報告
- 彩燈控制器課程設(shè)計--流水彩燈控制器
- 彩燈控制器課程設(shè)計
- 彩燈控制器課程設(shè)計
- 課程設(shè)計-- 彩燈控制器
- 彩燈控制器課程設(shè)計
- 彩燈控制器課程設(shè)計
- eda彩燈控制課程設(shè)計
- eda課程設(shè)計--用vhdl實現(xiàn)多路彩燈控制器的設(shè)計
- 單片機課程設(shè)計--節(jié)日彩燈控制器的設(shè)計
評論
0/150
提交評論