2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩40頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p>  交通信號(hào)燈控制系統(tǒng)的設(shè)計(jì)及仿真分析</p><p><b>  摘 要</b></p><p>  隨著社會(huì)經(jīng)濟(jì)的發(fā)展,城市交通問(wèn)題越來(lái)越引起人們的關(guān)注。人、車(chē)、路三者關(guān)系的協(xié)調(diào),已成為交通管理部門(mén)需要解決的重要問(wèn)題之一。城市交通控制系統(tǒng)是用于城市交通數(shù)據(jù)監(jiān)測(cè)、交通信號(hào)燈控制與交通疏導(dǎo)的計(jì)算機(jī)綜合管理系統(tǒng),它是現(xiàn)代城市交通監(jiān)控指揮系統(tǒng)中最重

2、要的組成部分。隨著城市機(jī)動(dòng)車(chē)量的不斷增加,許多大城市如北京、上海、南京等出現(xiàn)了交通超負(fù)荷運(yùn)行的情況,因此,自80年代后期,這些城市紛紛修建城市高速道路,在高速道路建設(shè)完成的初期,它們也曾有效地改善了交通狀況。然而,隨著交通量的快速增長(zhǎng)和缺乏對(duì)高速道路的系統(tǒng)研究和控制,高速道路沒(méi)有充分發(fā)揮出預(yù)期的作用。而城市高速道路在構(gòu)造上的特點(diǎn),也決定了城市高速道路的交通狀況必然受高速道路與普通道路耦合處交通狀況的制約。所以,如何采用合適的控制方法,最

3、大限度利用好耗費(fèi)巨資修建的城市高速道路,緩解主干道與匝道、城區(qū)同周邊地區(qū)的交通擁堵?tīng)顩r,越來(lái)越成為交通運(yùn)輸管理和城市規(guī)劃部門(mén)亟待解決的主要問(wèn)題。為此,通過(guò)我應(yīng)用所學(xué)的知識(shí)設(shè)計(jì)了一套交通燈控制電路的方案。交通燈的控制系統(tǒng)主要由計(jì)時(shí)電路、主控電路、信號(hào)燈轉(zhuǎn)換器、脈沖信號(hào)發(fā)生器組成。</p><p>  關(guān)鍵詞:控制系統(tǒng);計(jì)時(shí)電路;主控電路;信號(hào)燈轉(zhuǎn)換器;脈沖信號(hào)發(fā)生器</p><p><

4、b>  ABSTRACT</b></p><p>  Along with social economy's development, the municipal transportation question arouses people's interest more and more. The person, the vehicle, road three relation

5、s' coordinated, which has become one which of important questions the traffic control department needs to solve. The municipal transportation control system is uses in the municipal transportation data monitor, the s

6、treet-traffic control lights control and the transportation unblocking computer integrated manage system, which is in th</p><p>  Key word: Control system; Timing circuit; Master control electric circuit; Si

7、gnal light switch; Signal impulse generator </p><p><b>  目 錄</b></p><p>  第一章 緒論…………………………………………………………1</p><p>  1.1交通信號(hào)燈控制系統(tǒng)研究的背景和意義………………………………1</p><p&

8、gt;  1.2交通信號(hào)燈控制系統(tǒng)設(shè)計(jì)方案……………………………………………1</p><p>  第二章 狀態(tài)控制器設(shè)計(jì)……………………………………………4</p><p>  2.1狀態(tài)分析……………………………………………………………………4</p><p>  2.2 CD4029的引腳圖及引腳功能……………………………………………4</p>

9、<p>  2.3狀態(tài)控制器設(shè)計(jì)圖…………………………………………………………5</p><p>  第三章 狀態(tài)譯碼器設(shè)計(jì)……………………………………………6</p><p>  3.1控制信號(hào)燈的譯碼電路的真值表…………………………………………6</p><p>  3.2電路分析……………………………………………………………………6</p&

10、gt;<p>  3.3三態(tài)門(mén)的功能………………………………………………………………7</p><p>  3.4狀態(tài)譯碼器設(shè)計(jì)圖…………………………………………………………7</p><p>  第四章 定時(shí)系統(tǒng)設(shè)計(jì)………………………………………………9</p><p>  4.1計(jì)數(shù)器的作用及工作情況………………………………………………9<

11、/p><p>  4.2共陽(yáng)極LED七段數(shù)碼管引腳圖……………………………………………9</p><p>  4.3 74LS247譯碼器引腳圖及引腳功能……………………………………10</p><p>  4.4數(shù)碼連接譯碼電路…………………………………………………………11</p><p>  4.5三態(tài)門(mén)74LS245的引腳圖及引腳功能……

12、……………………………124.6觸發(fā)器的電路結(jié)構(gòu)及功能………………………………………………12</p><p>  4.7定時(shí)系統(tǒng)設(shè)計(jì)圖……………………………………………………………13</p><p>  第五章 秒脈沖發(fā)生器設(shè)計(jì)…………………………………………15</p><p>  5.1 555 定時(shí)器介紹……………………………………………………………1

13、5</p><p>  5.2 NE555的特點(diǎn)………………………………………………………………15</p><p>  5.3 555定時(shí)器的引腳排列圖及引腳功能……………………………………16</p><p>  5.4 555定時(shí)器構(gòu)成的秒信號(hào)發(fā)生器…………………………………………16</p><p>  5.5秒信號(hào)發(fā)生器工作原理……

14、………………………………………………18</p><p>  第六章 仿真軟件介紹及仿真分析…………………………………20</p><p>  6.1 仿真軟件介紹………………………………………………………………20</p><p>  6.2仿真調(diào)試交通信號(hào)燈狀態(tài)控制器…………………………………………20</p><p>  6.3仿真調(diào)

15、試交通信號(hào)燈顯示電路……………………………………………21</p><p>  6.4仿真調(diào)試交通信號(hào)燈定時(shí)電路……………………………………………22</p><p>  6.5仿真調(diào)試秒脈沖發(fā)生器……………………………………………………23</p><p>  6.6仿真調(diào)試整個(gè)系統(tǒng)…………………………………………………………25</p><p

16、>  總結(jié)……………………………………………………………………26</p><p>  參考文獻(xiàn)………………………………………………………………27</p><p>  附錄……………………………………………………………………28</p><p>  謝辭……………………………………………………………………36</p><p><

17、b>  第一章 緒論</b></p><p>  1.1交通信號(hào)燈控制系統(tǒng)研究的背景和意義</p><p>  世界上第一盞名副其實(shí)的三色燈(紅、黃、綠三種標(biāo)志)于1918年誕生。它是三色圓形四面投影器,被安裝在紐約市五號(hào)街的一座高塔上,由于它的誕生,使城市交通大為改善。中國(guó)最早的馬路紅綠燈,是于1908年出現(xiàn)在上海的英租。 從最早的手牽皮帶到20世紀(jì)50年代的電氣

18、控制,從采用計(jì)算機(jī)控制到現(xiàn)代化的電子定時(shí)監(jiān)控,交通信號(hào)燈在科學(xué)化、自動(dòng)化上不斷地更新、發(fā)展和完善[1]。</p><p>  隨著社會(huì)的發(fā)展和進(jìn)步,路上的車(chē)輛越來(lái)越多,而道路建設(shè)往往跟不上城市發(fā)展的速度,因此城市交通問(wèn)題日益突出,經(jīng)常在十字路口等交通繁忙的地方發(fā)生堵塞情況,出現(xiàn)交通混亂。為了解決車(chē)和路的矛盾,常用的有兩種方法:一是控制需求,最直接的辦法就是限制車(chē)輛的增加;二是增加供給,也就是修路。但是這兩個(gè)辦法都

19、有其局限性。我國(guó)汽車(chē)工業(yè)正處在起步階段,限制車(chē)輛的增加不是解決問(wèn)題的好方法。而采取增加供給,即大量修路,在資源、環(huán)境矛盾越來(lái)越突出的今天,有限的源和財(cái)力以及環(huán)境的壓力,也將受到限制。這就需要依靠除限制需求和提供道路設(shè)施之外的其他方法來(lái)滿足日益增長(zhǎng)的交通需求。交通系統(tǒng)正是解決這一矛盾的途徑之一。</p><p>  交通控制研究的發(fā)展,旨在解決人類交通因需求的增多而日益繁重帶來(lái)的問(wèn)題,局限于道路建設(shè)的暫時(shí)不足和交通

20、工具的快速增長(zhǎng),就要使更多的車(chē)輛安全高效的利用有限的道路資源,避免因無(wú)序和搶行等無(wú)控制原因造成的不必要阻塞甚至癱瘓,另外,針對(duì)整個(gè)交通線路車(chē)輛的多少適時(shí)調(diào)整和轉(zhuǎn)移多條線路的分流也十分必要。交通網(wǎng)絡(luò)是城市的動(dòng)脈,象征著一個(gè)城市的工業(yè)文明水平。交通關(guān)系著人們對(duì)于財(cái)產(chǎn),安全和時(shí)間的利益。具有優(yōu)良科學(xué)的交通控制技術(shù)對(duì)資源物流和人們的出行都是十分有價(jià)值的,保證交通線路的暢通安全,才能保證出行舒暢,物流準(zhǔn)時(shí)到位甚至是生命道路的延伸。研究城市交通信號(hào)

21、控制系統(tǒng)具有相當(dāng)?shù)膶W(xué)術(shù)價(jià)值和實(shí)用價(jià)值,解決了城市交通擁擠問(wèn)題,提高了城市交通的效率,適應(yīng)未來(lái)的城市交通的發(fā)展,從長(zhǎng)遠(yuǎn)來(lái)看該研究具有巨大的現(xiàn)實(shí)意義。</p><p>  1.2交通信號(hào)燈控制系統(tǒng)設(shè)計(jì)方案</p><p>  十字路口的紅綠燈指揮著行人和各種車(chē)輛的安全通行。每邊都設(shè)置了紅、綠、黃色信號(hào)燈。因?yàn)橹鞲傻郎系能?chē)輛多,所以主干道放行的時(shí)間要長(zhǎng)。在綠燈變紅燈時(shí)先要求黃燈亮幾秒鐘,以便讓停

22、車(chē)線以外的車(chē)輛停止運(yùn)行[2]。故本設(shè)計(jì)如下:</p><p>  1主、支干道交替通行,設(shè)主干道通行時(shí)間為30s,干道通行時(shí)間為20s。</p><p>  2綠燈亮表示可通行,紅燈亮表示禁止通行。3每次綠燈變紅燈時(shí),黃燈先亮5s(此時(shí)另一干道上的紅燈不變)。</p><p>  4十字路口要有數(shù)字顯示,作為等候時(shí)間提示。主、支干道通行時(shí)間及黃燈亮的時(shí)間均以秒為單

23、位作減計(jì)數(shù)。</p><p>  5在黃燈亮?xí)r,原紅燈按1Hz的頻率閃爍。</p><p>  6主、支干道通行時(shí)間及黃燈亮的時(shí)間均可在0~99s內(nèi)任意設(shè)定。</p><p>  故系統(tǒng)工作流程圖如圖1-1所示:</p><p>  圖1-1 系統(tǒng)工作流程圖</p><p>  要實(shí)現(xiàn)上述交通信號(hào)燈的自動(dòng)控制,則要求

24、控制電路由控制器、譯碼器、秒脈沖發(fā)生器、計(jì)數(shù)器信號(hào)燈譯碼驅(qū)動(dòng)電路[3]和數(shù)字顯示譯碼驅(qū)動(dòng)電路等幾部分組成,整個(gè)電路的原理框圖如圖1-2所示:</p><p>  圖1-2 交通燈控制系統(tǒng)的原理框圖</p><p>  1)狀態(tài)控制器:主要用于記錄十字路口交通燈的工作狀態(tài),以實(shí)現(xiàn)對(duì)主、支干道車(chē)輛運(yùn)行狀態(tài)的控制。2)狀態(tài)譯碼器:按照狀態(tài)控制器所處的狀態(tài),通過(guò)狀態(tài)譯碼器分別驅(qū)動(dòng)點(diǎn)亮相應(yīng)的信

25、號(hào)燈,指揮主、支干道的行人和車(chē)輛。</p><p>  3)秒脈沖發(fā)生器:產(chǎn)生整個(gè)定時(shí)系統(tǒng)的時(shí)基脈沖,確保整個(gè)電路同步工作和實(shí)現(xiàn)定時(shí)控制。</p><p>  4)減法計(jì)數(shù)器:通過(guò)減法計(jì)數(shù)器對(duì)秒脈沖作減計(jì)數(shù),完成計(jì)時(shí)任務(wù),達(dá)到控制每一種工作狀態(tài)持續(xù)時(shí)間的目的。減法計(jì)數(shù)器的回零脈沖使?fàn)顟B(tài)控制器完成狀態(tài)轉(zhuǎn)換,同時(shí)狀態(tài)譯碼器根據(jù)系統(tǒng)下一個(gè)工作狀態(tài),決定計(jì)數(shù)器下一次減法計(jì)數(shù)的初始值。</p

26、><p>  第二章 狀態(tài)控制器設(shè)計(jì)</p><p><b>  2.1狀態(tài)分析</b></p><p>  首先分析十字路口交通信號(hào)燈工作的實(shí)際各種可能狀態(tài)。因主干道和支干道各有 3種燈(紅、綠、黃),它們?cè)谡9ぷ鲿r(shí),亮燈的組合只有4種可能。1)設(shè)開(kāi)始時(shí)主干道通行,支干道不通行,這種情況下主綠燈和支紅燈亮,持續(xù)時(shí)間為30s。</p

27、><p>  2)30s后,主干道停車(chē),支干道仍不通行,這種情況下主黃燈亮,支紅燈閃爍,持續(xù)時(shí)間為5s。</p><p>  3)5s后,主干道不通行,支干道通行,這種情況下主紅燈和支綠燈亮,持續(xù)時(shí)間為20s。</p><p>  4)20s后,主干道仍不通行,支干道停車(chē),這種情況下主紅燈閃爍,支黃燈亮,持續(xù)時(shí)間為5s。5s后又回到第一種情況,如此循環(huán)反復(fù)。</p

28、><p>  因此,主控制電路有4種狀態(tài),設(shè)這4種狀態(tài)依次用S0(主綠燈亮,支紅燈亮)、 S1(主黃燈亮,支紅燈閃爍)、S2 (主紅燈亮,支綠燈亮)、S3(主紅燈閃爍,支黃燈殼)表示[4],其狀態(tài)編碼及狀態(tài)轉(zhuǎn)換圖如圖2-1所示:</p><p>  圖2-1 信號(hào)燈工作順序流程圖</p><p>  4組編碼對(duì)應(yīng)4種狀態(tài),顯然這是一個(gè) 2位二進(jìn)制計(jì)數(shù)器的輸出狀態(tài),故

29、可采用多種中規(guī)模集成計(jì)數(shù)器來(lái)實(shí)現(xiàn)。本設(shè)計(jì)采用CD4029連接成二進(jìn)制加法計(jì)數(shù)器構(gòu)成狀態(tài)控制器。</p><p>  2.2 CD4029的引腳圖及引腳功能</p><p>  CD4029 為 4位可預(yù)置二進(jìn)制/十進(jìn)制可逆計(jì)數(shù)器。它的引腳圖如圖2-2所示。該計(jì)數(shù)器可進(jìn)行二進(jìn)制加/減計(jì)數(shù)或BCD十進(jìn)制加/減計(jì)數(shù)操作,兩種計(jì)數(shù)方式均有超前進(jìn)位功能;B/D端為高電平時(shí),進(jìn)行二進(jìn)制計(jì)數(shù);B/D端為

30、低電平時(shí),進(jìn)行十進(jìn)制計(jì)數(shù)。U/ D為高電平時(shí),為加計(jì)數(shù)器;反之,為減計(jì)數(shù)器。PE為置數(shù)控制端,為計(jì)數(shù)控制器。因此CD4029 的計(jì)數(shù)選擇有4 種,分別由U/D(上數(shù)/下數(shù))及B/D(二進(jìn)制/十進(jìn)制)兩腳來(lái)控制。</p><p>  圖2-2 CD4029的引腳圖</p><p>  1.U/D=1,B/D=1;則為上數(shù)二進(jìn)計(jì)數(shù),其計(jì)數(shù)值由0000 到1111,總共有16 種狀態(tài),也就是十

31、進(jìn)制的0 到15。</p><p>  2.U/D=1,B/D=0;則為上數(shù)十進(jìn)計(jì)數(shù),其計(jì)數(shù)值由0000 到1001 為止,只有10 種狀態(tài),換句話說(shuō)就是BCD 計(jì)數(shù)的0 到9,詳如時(shí)序圖所示。</p><p>  3.U/D=0,B/D=1;則為下數(shù)二進(jìn)計(jì)數(shù),其計(jì)數(shù)值分別依1111、1110 往下計(jì)至0000,再回到1111;總共有16 種狀態(tài),也就是十進(jìn)制的15 到0。</p&g

32、t;<p>  4.U/D=0,B/D=0;則為下數(shù)十進(jìn)計(jì)數(shù),其計(jì)數(shù)值由1001、1000 往下計(jì)數(shù)至0000,再回到1001,即由9 下數(shù)至0,再回到9。</p><p>  2.3狀態(tài)控制器設(shè)計(jì)圖</p><p>  本設(shè)計(jì)中需要用二進(jìn)制加法計(jì)數(shù)器來(lái)表示S0、S1、S2、S3這四種狀態(tài),故把B/D和U/ D接高電平。在4029的引腳Q0、Q1上分別加了一個(gè)非門(mén)來(lái)表示對(duì)它們

33、取反,從而得到S0 、S1、S2、S3這四種狀態(tài),電路如圖2-3所示: </p><p>  圖2-3 狀態(tài)控制器設(shè)計(jì)圖</p><p>  第三章 狀態(tài)譯碼器設(shè)計(jì)</p><p>  3.1控制信號(hào)燈的譯碼電路的真值表</p><p>  主、支干道上紅、黃、綠信號(hào)燈的狀態(tài)主要取決于狀態(tài)控制器的輸出狀態(tài)。它們之間的關(guān)系見(jiàn)表3-1。其

34、中主干道的信號(hào)燈分別用大寫(xiě)字母R、Y、G代表紅燈、黃燈和綠燈,支干道的信號(hào)燈分別用小寫(xiě)字母 r、y、g代表紅燈、黃燈和綠燈。對(duì)于信號(hào)燈的狀態(tài),1 表示燈亮,0 表示燈滅[5]。這樣,就將交通信號(hào)燈信號(hào)的工作狀態(tài)以真值表的形式表達(dá)出來(lái)。</p><p>  表3-1 交通信號(hào)燈信號(hào)狀態(tài)真值表</p><p>  根據(jù)真值表,可求出各信號(hào)燈的邏輯函數(shù)表達(dá)式為:</p><

35、p>  R=Q2·+ Q2·Q1=Q2 =2 (3-1)</p><p>  Y=·Q1 = (3-2)</p><p>  G=· = (3-3)</p><p> 

36、 r=·+·Q1 = =2 (3-4)</p><p>  y= Q2·Q1 = (3-5)</p><p>  g= Q2· = (3-6)</p><p><b&g

37、t;  3.2電路分析</b></p><p>  根據(jù)以上表達(dá)式可采用邏輯門(mén)電路構(gòu)成:門(mén)電路是數(shù)字邏輯電路的基本組成單元,門(mén)電路按邏輯功能可分為:與門(mén)、或門(mén)、非門(mén)以及與非門(mén)、或非門(mén)、異或門(mén)、同或門(mén)、與或非門(mén)。若按電路結(jié)構(gòu)組成的不同,可分為立元件門(mén)電路、CMOS集成門(mén)電路、TTL集成門(mén)電路等。各種集成門(mén)電路通常都封裝在集成芯片內(nèi)。此次設(shè)計(jì)采用的集成電路有74LS00、74LS125。每個(gè)集成電路都有自

38、己的代號(hào),與代號(hào)對(duì)應(yīng)的名稱形象地說(shuō)明了集成電路的用途。74LS00是二輸入端四與非門(mén),它說(shuō)明這個(gè)集成電路中包含四個(gè)二輸入端的與非門(mén)。</p><p>  由交通信號(hào)燈控制系統(tǒng)設(shè)計(jì)的技術(shù)指標(biāo)知道,當(dāng)黃燈亮?xí)r,紅燈按 1Hz的頻率閃爍。從表3-1中可以看出,黃燈亮?xí)r,Q1必為高電平;而紅燈點(diǎn)亮信號(hào)與Q1信號(hào)無(wú)關(guān)??衫肣1信號(hào)去控制一個(gè)三態(tài)門(mén)電路74LS125 (或模擬開(kāi)關(guān))來(lái)控制。</p><p

39、><b>  3.3三態(tài)門(mén)的功能</b></p><p>  三態(tài)門(mén)簡(jiǎn)稱TSL門(mén)(以TTL三態(tài)門(mén)為例),它是在普通門(mén)的基礎(chǔ)上加上使能控制電路和控制信號(hào)構(gòu)成的。所謂三態(tài)門(mén),是指其輸出有3種狀態(tài),即高電平、低電平和高阻態(tài) (開(kāi)路狀態(tài))[6]。在高阻態(tài)時(shí),其輸出與外接電路呈斷開(kāi)狀態(tài)。圖3-1、3-2是三態(tài)與非門(mén)的邏輯圖。</p><p>  圖3-1 高電平有效

40、 圖3-2 低電平有效 </p><p>  圖3-1所示的三態(tài)門(mén)是控制端為高電平時(shí)有效。當(dāng) EN=1時(shí),與普通與非門(mén)的邏輯功能相同:當(dāng) EN=0時(shí),不論A、B 的狀態(tài)如何,輸出均為高PEI態(tài) (與外電路隔斷)。</p><p>  圖3-2所示的三態(tài)門(mén)是控制端為低電平時(shí)有效。當(dāng)=0時(shí),與普通與非門(mén)的邏輯功能相同;當(dāng)=1時(shí),不論A、B的狀態(tài)如何,輸出為高

41、阻態(tài)。</p><p>  因此,當(dāng)Q1為高電平時(shí)(Q1為低電平,控制三態(tài)門(mén)的EN,將秒脈沖信號(hào)引到驅(qū)動(dòng)紅燈的與非門(mén)的輸入端,使紅燈在黃燈亮期間閃爍;反之將其隔離,使紅燈信號(hào)不受黃燈信號(hào)的影響。</p><p>  3.4狀態(tài)譯碼器設(shè)計(jì)圖</p><p>  輸出端選擇發(fā)光二極管來(lái)模擬交通燈,由于門(mén)電路帶灌電流的能力一般比帶拉電流的能力強(qiáng),要求門(mén)電路輸出低電平時(shí),點(diǎn)

42、亮相應(yīng)的發(fā)光二極管,故交通信號(hào)燈狀態(tài)譯碼電路組成如圖3-3所示:</p><p>  圖3-3 交通信號(hào)燈狀態(tài)譯碼電路</p><p>  第四章 定時(shí)系統(tǒng)設(shè)計(jì)</p><p>  根據(jù)設(shè)計(jì)要求,交通燈控制系統(tǒng)要有一個(gè)能自動(dòng)置入不同定時(shí)時(shí)間的定時(shí)器,以完成 30s、20s、5s 的定時(shí)任務(wù)。該定時(shí)器由兩片CD4029構(gòu)成的2位十進(jìn)制可預(yù)置減法計(jì)數(shù)器構(gòu)成,時(shí)間

43、顯示狀態(tài)由兩片74LS47和兩只共陽(yáng)極LED七段數(shù)碼管對(duì)減法計(jì)數(shù)器進(jìn)行譯碼顯示,預(yù)置到減法計(jì)數(shù)器的時(shí)間常數(shù)通過(guò)3片8路雙向三態(tài)門(mén)74LS245來(lái)設(shè)定。3片74LS245的輸入數(shù)據(jù)分別接入30、20、5 這3個(gè)不同的數(shù)字,任一輸入數(shù)據(jù)到減法計(jì)數(shù)器的置入,由狀態(tài)譯碼器的輸出信號(hào)控制不同74LS245的選通信號(hào)來(lái)實(shí)現(xiàn)。</p><p>  4.1計(jì)數(shù)器的作用及工作情況</p><p>  計(jì)數(shù)器

44、的作用:一是根據(jù)主干道和支干道車(chē)輛運(yùn)行時(shí)間以及黃燈切換時(shí)間的要求,進(jìn)行30s、20s、5s 3種方式的計(jì)數(shù);二是向主控制器發(fā)出狀態(tài)轉(zhuǎn)換信號(hào),主控制器根據(jù)狀態(tài)轉(zhuǎn)換信號(hào)進(jìn)行狀態(tài)轉(zhuǎn)換。</p><p>  計(jì)數(shù)器除需要秒脈沖作時(shí)鐘信號(hào)外,還應(yīng)受主控制器的狀態(tài)控制。計(jì)數(shù)器的工作情況為:計(jì)數(shù)器在主控制器進(jìn)入狀態(tài)S0時(shí)開(kāi)始30s計(jì)數(shù);30s后產(chǎn)生歸零脈沖,并向主控制器發(fā)出狀態(tài)轉(zhuǎn)換信號(hào),使計(jì)數(shù)器歸零,主控制器進(jìn)入狀態(tài)S1,計(jì)數(shù)

45、器開(kāi)始5s計(jì)數(shù);5s后又產(chǎn)生歸零脈沖,并向主控制器發(fā)出狀態(tài)轉(zhuǎn)換信號(hào),使計(jì)數(shù)器歸零,主控制器進(jìn)入狀態(tài)S2,計(jì)數(shù)器開(kāi)始20s計(jì)數(shù);20s后也產(chǎn)生歸零脈沖,并向主控制器發(fā)出狀態(tài)轉(zhuǎn)換信號(hào),使計(jì)數(shù)器歸零,主控制器進(jìn)入狀態(tài)S3,計(jì)數(shù)器又開(kāi)始5s計(jì)數(shù);5s后同樣產(chǎn)生歸零脈沖,并向主控制器發(fā)出狀態(tài)轉(zhuǎn)換信號(hào),使計(jì)數(shù)器歸零,主控制器回到狀態(tài)S0,開(kāi)始新一輪循環(huán)。本設(shè)計(jì)由兩片CD4029構(gòu)成的2位十進(jìn)制可預(yù)置減法計(jì)數(shù)器來(lái)進(jìn)行倒計(jì)時(shí),由于CD4029功能前面已

46、經(jīng)寫(xiě)出,此處不再綴余。</p><p>  4.2共陽(yáng)極LED七段數(shù)碼管引腳圖</p><p>  數(shù)碼管分為共陽(yáng)極結(jié)構(gòu)和共陰極結(jié)構(gòu)。若顯示器共陽(yáng)極連接,則對(duì)應(yīng)陽(yáng)極接高電平的字段發(fā)光;而顯示器共陰極連接,則接低電平的字段發(fā)光。共陽(yáng)極數(shù)碼管引腳如圖4-1所示,內(nèi)部結(jié)構(gòu)如圖4-2所示:</p><p>  圖4-1 共陽(yáng)極數(shù)碼管引腳圖</p><p

47、>  圖4-2 共陽(yáng)極數(shù)碼管內(nèi)部結(jié)構(gòu)</p><p>  4.3 74LS47譯碼器引腳圖及引腳功能</p><p>  中規(guī)模集成電路74LS47是一種常用的7段顯示譯碼器,74LS47是輸出低電平有效的七段字形譯碼器,它在這里與數(shù)碼管配合使用,即輸出為0時(shí),對(duì)應(yīng)字段點(diǎn)亮;輸出為1時(shí),對(duì)應(yīng)字段熄滅,所以驅(qū)動(dòng)的是共陽(yáng)極的 7段顯示器。該譯碼器能夠驅(qū)動(dòng)7段顯示器顯示0~15共16個(gè)數(shù)

48、字的字形。輸入端A1、A2、A3和A4接收4位二進(jìn)制碼,輸出端Qa、Qb、Qc、Qd、Qe、Qf和Qg分別驅(qū)動(dòng)7段顯示器的7段。譯碼顯示原理圖如圖4-3所示:</p><p>  圖4-3 譯碼顯示原理圖</p><p>  74LS47主要引腳功能如下:(1):試燈輸入,是為了檢查數(shù)碼管各段是否能正常發(fā)光而設(shè)置的。當(dāng)=0時(shí),無(wú)論輸入A1,A2 ,A3 ,A4為何種狀態(tài),譯碼器輸出均為

49、低電平,即a、b、c、d、e、f、g均輸出為零,,發(fā)光數(shù)碼管各發(fā)光段全亮,顯示8字用以檢查數(shù)碼管各發(fā)光段是否良好。當(dāng),=1時(shí),譯碼器按輸入BCD碼正常譯碼顯示。 (2):滅燈輸入,是為控制多位數(shù)碼顯示的滅燈所設(shè)置的。=0時(shí)。不論和輸入A1,A2 ,A3 ,A4為何種狀態(tài),譯碼器輸出均為高電平,即a、b、c、d、e、f、g均輸出為1,使共陽(yáng)極7段數(shù)碼管熄滅。只有=1時(shí),譯碼器才根據(jù)A、B、C、D輸入狀態(tài)而譯碼輸出。(3):滅零輸入,它

50、是為使不希望顯示的0熄滅而設(shè)定的。當(dāng)=0時(shí),若輸入DCBA=0000,則輸出不顯示0,若輸入為其它帶碼,則照常顯示。(4):滅零輸出,它和共用一個(gè)引腳,它既是滅燈輸入端用來(lái)接收信號(hào),又是滅零輸出端輸出信號(hào),為相鄰位提供滅零輸入信號(hào),用它可以實(shí)現(xiàn)多位數(shù)碼顯示的滅零控制。</p><p>  (5) 常用的七段顯示器還加了小數(shù)點(diǎn)DP。</p><p>  4.4數(shù)碼連接譯碼電路</p&

51、gt;<p>  74LS247是一種BCD碼輸入端,其中D是高電位,a、b、c、d、e、f、g是輸出端,輸出低電平有效,和共陽(yáng)極半導(dǎo)體發(fā)光數(shù)碼管各發(fā)光段的陰極引出線相互連接,74LS47譯碼器原理譯碼為編碼的逆過(guò)程。它將編碼時(shí)賦予代碼的含義“翻譯”過(guò)來(lái)。實(shí)現(xiàn)譯碼的邏輯電路成為譯碼器。譯碼器輸出與輸入代碼有唯一的對(duì)應(yīng)關(guān)系。圖4-4是74LS247和數(shù)碼管的管腳排列圖。</p><p>  圖4-4

52、 七段數(shù)碼顯示器譯碼電路</p><p>  表4-1列出了七段顯示譯碼電路真值表,表示出了它與數(shù)碼管之間的關(guān)系。</p><p>  表4-1 七段顯示譯碼電路真值表</p><p>  4.5三態(tài)門(mén)74LS245的引腳圖及引腳功能74LS245是我們常用的芯片,用來(lái)驅(qū)動(dòng)發(fā)光二極管或者其他的設(shè)備,尾帶</p><p>  三態(tài)輸出的8位

53、雙向數(shù)據(jù)緩沖器,專用于數(shù)據(jù)雙向傳輸。74LS245芯片引腳如圖4-5所示。其中芯片引腳為芯片使能端(有的標(biāo)為),為低電平時(shí),緩沖器才能工作。DIR為傳輸方向控制端,當(dāng)DIR=0時(shí),信號(hào)由B向A傳輸,當(dāng)DIR=1時(shí),信號(hào)由A向B傳輸;為高電平時(shí),A、B均為高阻態(tài)。</p><p>  圖4-5 74LS245的引腳圖</p><p>  4.6觸發(fā)器的電路結(jié)構(gòu)及功能</p>

54、<p>  根據(jù)邏輯功能的不同分為: RS觸發(fā)器、 JK觸發(fā)器、 T觸發(fā)器、 D觸發(fā)器。本設(shè)計(jì)用到的是RS觸發(fā)器,圖4-6和4-7分別是RS觸發(fā)器的電路結(jié)構(gòu)和圖形符號(hào)。</p><p>  圖4-6 電路結(jié)構(gòu) 圖4-7 圖形符號(hào)</p><p>  SR鎖存器的特性表如表4-2所示:</p><p>

55、  表4-2 SR鎖存器特性表</p><p>  SR鎖存器在電路中的作用是保證數(shù)碼管顯示數(shù)字的時(shí)間間隔為1s。</p><p>  4.7定時(shí)系統(tǒng)設(shè)計(jì)圖</p><p>  當(dāng)狀態(tài)控制器在S1 (Q2Ql =01)或在S3(Q2Ql=11)時(shí). 要求減法計(jì)數(shù)器按初值5開(kāi)始計(jì)數(shù),故采用S1、S3為邏輯變量而形成的控制信號(hào)Q1去控制輸入數(shù)據(jù)接數(shù)字5的74LS245

56、的選通端[7]。由于74LS245選通信號(hào)要求低電平有效,故Q1經(jīng)過(guò)一個(gè)非門(mén)輸出后接相應(yīng)74LS245的選通控制端。。所設(shè)計(jì)的定時(shí)系統(tǒng)如圖4-8所示:</p><p>  圖4-8 定時(shí)系統(tǒng)設(shè)計(jì)圖</p><p>  第五章 秒脈沖發(fā)生器設(shè)計(jì)</p><p>  產(chǎn)生秒信號(hào)的電路有多種形式,本設(shè)計(jì)是利用555定時(shí)器組成的秒信號(hào)發(fā)生器。</p>

57、<p>  5.1 555 定時(shí)器介紹</p><p>  555 定時(shí)器是一種模擬和數(shù)字電路混合的中規(guī)模集成電路。它結(jié)構(gòu)簡(jiǎn)單、性能可靠、使用靈活,只要外部配上適當(dāng)阻容元件,就構(gòu)成脈沖產(chǎn)生和整形電路。在波形的產(chǎn)生與變換、測(cè)量與控制、家用電器、電子玩具等許多領(lǐng)域中都得到了應(yīng)用。 </p><p>  在市面上可以看到NE555,CA555,HA555,FX555,SN52555/S

58、N72555、MCI555、TA555、5G1555等,但是盡管不同廠家生產(chǎn)的產(chǎn)品在結(jié)構(gòu)和參數(shù)方面可能有差別,但在產(chǎn)品中都保留了555數(shù)字,即片內(nèi)的兩個(gè)電壓比較器的基準(zhǔn)電壓由三個(gè)嚴(yán)格相等的5k歐電阻分壓提供,因此,稱為555集成電路。在應(yīng)用中都是一樣的效果。555是一種時(shí)基電路,能在4.5——18V電源電壓下工作,輸出電瓶可與TTL、CMOS、HTL邏輯電路兼容,定時(shí)或震蕩精度僅與外接元件特性有關(guān),具有200mA的吸入或供出電流,可直接

59、推動(dòng)揚(yáng)聲器,電感等低阻抗負(fù)載。還可以在儀器儀表、自動(dòng)化裝置和各種電器中作定時(shí)和時(shí)間延遲等控制。在電路中可做單穩(wěn)態(tài)、多諧振蕩器、脈沖發(fā)生器、脈沖檢測(cè)器。脈沖寬度和位置的調(diào)至電路以及報(bào)警器,應(yīng)用極其廣泛。</p><p>  5.2 NE555的特點(diǎn)</p><p>  本設(shè)計(jì)用NE555來(lái)設(shè)計(jì)秒脈沖發(fā)生器。NE555是屬于555系列的計(jì)時(shí)IC的其中的一種型號(hào),555系列IC的接腳功能及運(yùn)用都

60、是相容的,只是型號(hào)不同的因其價(jià)格不同其穩(wěn)定度、省電、可產(chǎn)生的振蕩頻率也不大相同。下面是NE555的特點(diǎn): 1.只需簡(jiǎn)單的電阻器、電容器,即可完成特定的振蕩延時(shí)作用。其延時(shí)范圍極廣,可由幾微秒至幾小時(shí)之久。 2.它的操作電源電壓范圍極大,可與TTL,CMOS等邏輯電路配合,也就是它的輸出準(zhǔn)位及輸入觸發(fā)準(zhǔn)位,均能與這些邏輯系列的高、低態(tài)組合。 3.其輸出端的供給電流大,可直接推動(dòng)多種自動(dòng)控制的負(fù)載。 4.它的計(jì)時(shí)精確度高、溫度穩(wěn)定度

61、佳,且價(jià)格便宜。</p><p>  5.靜態(tài)電流 最大值 VCC = 5 V, RL = ∞ =6mA  VCC =15 V, </p><p>  RL = ∞ =15mA。 </p><p>  5.3 555定時(shí)器的引腳排列圖及引腳功能</p><p>  圖5-1 555的內(nèi)部電路圖<

62、/p><p>  圖5-2 555定時(shí)器引腳排列圖</p><p>  555定時(shí)器各引腳的功能如下:</p><p>  1端GND為接地線;</p><p>  2端TR為低電平觸發(fā)端,也稱為觸發(fā)輸入端。當(dāng)2端的輸入高電壓高于VCC/3時(shí),C2輸出為1;當(dāng)輸入電壓低于VCC/3時(shí),C2的輸出為0,使基本觸發(fā)器置1;3端OUT為輸出端;<

63、;/p><p>  4端RT是復(fù)位端,當(dāng)=0時(shí),基本觸發(fā)器直接置0,使Q=0,=1;</p><p>  5端為電壓控制端,如果C0 端另加控制電壓,則可以改變C1,C2的參考電壓。工作中不使用C0 端時(shí),一般都通過(guò)一個(gè)0.01uF的電容接地,以防旁路干擾;</p><p>  6端TH 為高電平觸發(fā)端,當(dāng)輸入電壓低于2VCC/3時(shí),C1的輸出為1;當(dāng)輸入電壓高于2VC

64、C/3時(shí),C1的輸出為0,使基本觸發(fā)器置0,即Q0=0, =1,這時(shí)定時(shí)器輸出U0=0;</p><p>  7端D為放電端,當(dāng)基本觸發(fā)器的=1時(shí),放電晶體管T導(dǎo)通,外接電容元件通過(guò)T放電;</p><p>  8端VCC為電源端,可在4.3-1.6V范圍內(nèi)使用,若為CMOS電路,則VCC=3-18V。</p><p>  表5-1為555的功能表,它全面表示了55

65、5的基本功能。</p><p>  表5-1 555功能表</p><p>  5.4 555定時(shí)器構(gòu)成的秒信號(hào)發(fā)生器</p><p>  采用555設(shè)計(jì)的秒信號(hào)發(fā)生器及其工作波形,其振蕩頻率與實(shí)際的數(shù)字鐘頻率略有出入,但可以通過(guò)校時(shí)裝置校時(shí)。它沒(méi)有穩(wěn)定狀態(tài),同時(shí)毋須外加發(fā)脈沖,就能輸出一定頻率的矩形脈沖[8](自激振蕩)。用555設(shè)計(jì)秒信號(hào)發(fā)生器需要外接電阻R1

66、,R2和電容C,并外接+3V的直流電源。只需在+VCC端接上+3V的電源,就能在3腳產(chǎn)生周期性的方波。秒脈沖電路如圖5-3,波形圖如圖5-4。</p><p>  圖5-3 秒脈沖電路圖</p><p><b>  圖5-4 波形圖</b></p><p>  5.5秒信號(hào)發(fā)生器工作原理</p><p>  接通電后

67、,它經(jīng)過(guò)電阻R1和R2對(duì)電容C充電,當(dāng)UC上升略高于2/3VCC時(shí)</p><p>  ,比較器C1的輸出為“0”,將觸發(fā)器置“0”,U0為“0”。這時(shí),=1,放電管T導(dǎo)通,電容C通過(guò)R2和T放電,Uc下降。當(dāng)Uc下降略低于1/3VCC時(shí),比較器C2的輸出為“0”,將觸發(fā)器置“1”, U0又由“0”變?yōu)椤?”。由于=0,放電管T截止,VCC又經(jīng)過(guò)R1和R2對(duì)電容C充電。如此重復(fù)上述過(guò)程,U0為連續(xù)的矩形波。<

68、;/p><p>  第一個(gè)暫穩(wěn)狀態(tài)的脈沖寬度tp1,即Uc從1/3VCC充電上升到2/3VCC所需的時(shí)間:</p><p>  tp1≈(R1+R2)Cln2=0.7(R1+R2) (5-1)</p><p>  第二個(gè)暫穩(wěn)狀態(tài)的脈沖寬度tp2,即Uc從2/3VCC放電下降到1/3VCC所需的時(shí)間: tp2

69、≈R2Cln2=0.7R2C (5-2)</p><p>  振蕩周期 T= tp1+ tp2≈0.7(R1+2 R2)C (5-3)</p><p>  振蕩頻率 f== (5-4)</p><p>

70、;  占空比 q= (5-5)</p><p>  由式可得,占空比大于總是>%50。若設(shè)占空比=%50,又知交通信號(hào)燈的振蕩周期是1s,可得到本次所需要的元器件阻值:</p><p><b>  .R1≈39KΩ</b></p><p><b&

71、gt;  R2≈51KΩ</b></p><p><b>  C≈10uF</b></p><p><b>  C0≈10nF</b></p><p>  第六章 仿真軟件介紹及仿真分析</p><p>  6.1 仿真軟件介紹</p><p>  Multis

72、im是加拿大圖像交互技術(shù)公司(Interactive Image Technoligics簡(jiǎn)稱IIT公司)推出的以Windows為基礎(chǔ)的仿真工具,適用于初級(jí)的模擬/數(shù)字電路板的設(shè)計(jì)工作。它包含了電路原理圖的圖形輸入、電路硬件描述語(yǔ)言輸入方式,具有豐富的仿真分析能力。 工程師們可以使用Multisim交互式地搭建電路原理圖,并對(duì)電路行為進(jìn)行仿真。Multisim提煉了SPICE仿真的復(fù)雜內(nèi)容,這樣工程師無(wú)需懂得深入的SPICE技術(shù)

73、就可以很快地進(jìn)行捕獲、仿真和分析新的設(shè)計(jì),這也使其更適合電子學(xué)教育。通過(guò)Multisim和虛擬儀器技術(shù),PCB設(shè)計(jì)工程師和電子學(xué)教育工作者可以完成從理論到原理圖捕獲與仿真再到原型設(shè)計(jì)和測(cè)試這樣一個(gè)完整的綜合設(shè)計(jì)流程。</p><p>  Multisim軟件結(jié)合了直觀的捕捉和功能強(qiáng)大的仿真,能夠快速、輕松、高效地對(duì)電路進(jìn)行設(shè)計(jì)和驗(yàn)證。憑借Multisim,可以立即創(chuàng)建具有完整組件庫(kù)的電路圖,并利用工業(yè)標(biāo)準(zhǔn)SPIC

74、E模擬器模仿電路行為[9]。借助專業(yè)的高級(jí)SPICE分析和虛擬儀器,能在設(shè)計(jì)流程中提早對(duì)電路設(shè)計(jì)進(jìn)行的迅速驗(yàn)證,從而縮短建模循環(huán)。Multisim幫助工程師優(yōu)化電路設(shè)計(jì),減少錯(cuò)誤和原型重復(fù)。Multisim可以與新的NI Ultiboard11軟件結(jié)合,為工程師提供高性價(jià)比、端對(duì)端原型平臺(tái)。</p><p>  Multisim是一個(gè)用于電路設(shè)計(jì)和仿真的EDA工具軟件,由于其強(qiáng)大的功能,形象生動(dòng)的仿真效果,友好的

75、界面,豐富的元件庫(kù)和儀表庫(kù),在我國(guó)各級(jí)各類學(xué)校得到廣泛的推廣應(yīng)用,尤其是電類專業(yè)可以將其作為電子電路的教學(xué)示教、仿真實(shí)驗(yàn)、電子電路的設(shè)計(jì)等。Multisim軟件以圖形化的方式消除了傳統(tǒng)電路仿真的復(fù)雜性,幫助教育工作者、學(xué)生和工程師使用先進(jìn)電路分析技術(shù)。由于Multisim的交互式組件、模擬驅(qū)動(dòng)儀器、實(shí)際的模擬和數(shù)字測(cè)量的整合,使Multisim在學(xué)術(shù)界、??萍夹g(shù)院校和大學(xué)獲得了廣泛應(yīng)用。</p><p>  6.

76、2 仿真調(diào)試交通信號(hào)燈狀態(tài)控制器</p><p>  將秒信號(hào)引入狀態(tài)控制器脈沖輸入端,在該脈沖作用下,觀察主控制器的狀態(tài)是否是按00、01、10、11、00的規(guī)律變化,如果不是這樣就應(yīng)查找原因。交通信號(hào)燈狀態(tài)控制器仿真電路如圖6-1所示:</p><p>  圖6-1 狀態(tài)控制器仿真電路</p><p>  由于仿真軟件中秒脈沖信號(hào)發(fā)生器產(chǎn)生的仿真秒脈沖信號(hào)太慢

77、,為了加快調(diào)試速度,在交通信號(hào)燈狀態(tài)控制器仿真電路中用信號(hào)發(fā)生器代替秒脈沖信號(hào),信號(hào)發(fā)生器頻率設(shè)置為100Hz。為了觀察起來(lái)直觀,在輸出端接有指示燈,用來(lái)觀察4029BD的輸出信號(hào)。同時(shí)需要注意,仿真電路中的4029BD與CD4029芯片只是標(biāo)注有所不同,功能是完全相同的。簡(jiǎn)要說(shuō)明如下:CP 為時(shí)鐘脈沖輸入端,UD為加/減計(jì)數(shù)控制端BIND為二進(jìn)制/十進(jìn)制計(jì)數(shù)端,PE為置數(shù)控制端,CI為計(jì)數(shù)控制端,Q3、Q2、Q1、Q0為計(jì)數(shù)輸出端,T

78、C為進(jìn)位輸出端,P3、P2、P1、P0為置數(shù)輸入端[10]。仿真電路中4029BD 的計(jì)數(shù)輸出端為 Q3、Q2、Q1、Q0。邏輯原理圖中的CD4029輸出端為Q4、Q3、Q2、Q1,這一點(diǎn)特別需要注意。仿真圖采用的是和原理圖相同的引腳進(jìn)行連接的,但標(biāo)號(hào)是不一樣的。</p><p>  6.3仿真調(diào)試交通信號(hào)燈顯示電路</p><p>  這部分仿真電路是交通信號(hào)燈狀態(tài)控制器與交通信號(hào)燈顯示

79、電路相連接后的測(cè)試電路。其中對(duì)于支干道的交通信號(hào)燈標(biāo)注,由于Multisim10軟件的問(wèn)題 (不支持同一字符的多次標(biāo)注),只能標(biāo)注成小寫(xiě)的rl (紅燈)、yl(黃燈)、gl (綠燈)。測(cè)試的目的是檢查6個(gè)發(fā)光二極管是否按設(shè)計(jì)要求發(fā)光。參照?qǐng)D3-3所示的交通信號(hào)燈狀態(tài)譯碼電路進(jìn)行仿真測(cè)試,仿真電路如圖6-2所示:</p><p>  圖6-2 交通信號(hào)燈顯示電路仿真</p><p>  

80、6.4仿真調(diào)試交通信號(hào)燈定時(shí)電路</p><p>  參照?qǐng)D4-8所示的交通信號(hào)燈定時(shí)電路進(jìn)行仿真測(cè)試,仿真調(diào)試定時(shí)電路時(shí),將秒信號(hào)引入定時(shí)系統(tǒng)電路的脈沖輸入端,指示燈X2作為一種狀態(tài)的指示,接在仿真測(cè)試線路上,它的作用是顯示當(dāng)某一種計(jì)數(shù)倒計(jì)時(shí)為0的時(shí)候,指示燈的連接端會(huì)輸出一個(gè)脈沖. 指示燈X2會(huì)閃亮一下。在整個(gè)交通信號(hào)控制系統(tǒng)中,該處的脈沖信號(hào)就是控制交通信號(hào)燈狀態(tài)變化的脈沖信號(hào)。為了加快調(diào)試速度,用信號(hào)發(fā)生

81、器產(chǎn)生的信號(hào)代替秒脈沖信號(hào),然后在74LS245的使能控制端接3個(gè)開(kāi)關(guān),當(dāng)開(kāi)關(guān)依次接低電平時(shí),計(jì)數(shù)器應(yīng)以5、20、30送給計(jì)數(shù)器進(jìn)行倒計(jì)數(shù)。兩位數(shù)碼管應(yīng)有相應(yīng)顯示,否則查找原因。在仿真電路如圖 6-3所示:</p><p>  圖6-3 交通信號(hào)燈定時(shí)部分仿真電路</p><p>  6.5仿真調(diào)試秒脈沖發(fā)生器</p><p>  秒脈沖信號(hào)發(fā)生器的仿真電路如圖

82、6-4所示。用示波器監(jiān)視秒脈沖發(fā)生器的輸出,調(diào)節(jié)電位器 RW,使輸出信號(hào)的周期為1s。</p><p>  圖6-4 秒脈沖信號(hào)發(fā)生器仿真電路</p><p>  示波器的輸出波形如圖6-5所示:</p><p>  圖6-5 示波器輸出波形圖</p><p>  由圖可知,該脈沖信號(hào)約為1s,符合設(shè)計(jì)要求。</p><

83、;p>  6.6仿真調(diào)試整個(gè)系統(tǒng)</p><p>  各單元電路均能正常工作后,再把各個(gè)單元電路互相連接起來(lái),進(jìn)行系統(tǒng)總調(diào)。電路如圖6-6所示:</p><p><b>  圖6-6 總電路</b></p><p><b>  總 結(jié)</b></p><p>  本系統(tǒng)由數(shù)字電路組成

84、,整個(gè)系統(tǒng)主要由定時(shí)系統(tǒng)、狀態(tài)控制器,狀態(tài)顯示電路及秒脈沖發(fā)生器組成。定時(shí)系統(tǒng)用兩片CD4029構(gòu)成2位十進(jìn)制可預(yù)置減法計(jì)數(shù)器,時(shí)間顯示狀態(tài)由兩片中規(guī)模集成電路74LS47和兩個(gè)共陽(yáng)極LED七段數(shù)碼管進(jìn)行譯碼顯示,預(yù)置到減法計(jì)數(shù)器的時(shí)間常數(shù)通過(guò)三片8路雙向三態(tài)門(mén)74LS245來(lái)設(shè)定。狀態(tài)控制器以CD4029為核心。狀態(tài)顯示電路用發(fā)光二極管來(lái)模擬交通燈。秒脈沖發(fā)生器以NE555芯片為核心,設(shè)計(jì)產(chǎn)生周期為1s的秒沖。從而設(shè)計(jì)出主干道交替通行

85、的交通燈控制系統(tǒng)。</p><p>  在設(shè)計(jì)一個(gè)較大的電路系統(tǒng)時(shí),每一個(gè)環(huán)節(jié)都是很重要的,先要有一個(gè)較為合理的原理圖,然后才能對(duì)原理圖中的每一部分進(jìn)行仿真修改,這往往不是一蹴而就的,需要不斷地反復(fù)。先對(duì)單元電路進(jìn)行仿其分析,再對(duì)總體電路進(jìn)行仿真分析。仿真調(diào)試時(shí),要把整個(gè)電路分成幾塊,每塊單獨(dú)調(diào)試,這樣才能減少故障率,提高調(diào)試的效率。 </p><p><b>  參考文獻(xiàn)

86、</b></p><p>  [1] 郭玉平. 探討交通信號(hào)燈. 北京, 北京工業(yè)技術(shù)出版社, 2005.</p><p>  [2] 余華,岳秋琴. 電子設(shè)計(jì)自動(dòng)化技術(shù)的發(fā)展及在現(xiàn)代數(shù)字電子系統(tǒng)設(shè)計(jì)中的應(yīng)用. 現(xiàn)代電子技術(shù), 2001, 12(5):167—170.</p><p>  [3] 何小艇. 電子系統(tǒng)設(shè)計(jì). 浙江, 浙江大學(xué)出版社,

87、2004.</p><p>  [4] 林紅. 數(shù)字電路與邏輯設(shè)計(jì). 北京, 清華大學(xué)出版社, 2009.</p><p>  [5] 周偉. 交通信號(hào)燈的設(shè)計(jì)原理. 上海, 上海光源研究所, 2006.</p><p>  [6] 李青. 電路與電子技術(shù)基礎(chǔ). 浙江, 浙江科學(xué)技術(shù)出版社, 2005.</p><p>  [7] 謝

88、自美. 電子線路設(shè)計(jì)·實(shí)驗(yàn)·測(cè)試. 武漢, 華中科技大學(xué)出版社, 2001.</p><p>  [8] 駱新全. 吳小泉. 李行星. 電子電路與系統(tǒng)實(shí)驗(yàn). 北京, 中國(guó)廣播電視出版社, 2009.</p><p>  [9] 劉鳴. 電子線路綜合設(shè)計(jì)實(shí)驗(yàn)教程. 天津, 天津大學(xué)出版社, 2008.</p><p>  [10] 莊俊華. m

89、ultisim9入門(mén)及應(yīng)用. 北京, 機(jī)械工業(yè)出版社, 2008.</p><p><b>  附錄 </b></p><p>  Electronic information technology in intelligence street-traffic control lights control application</p><p>

90、;  1 Introduction</p><p>  The urbanized advancement speeds up day by day with automobile's day-by-day popularization, the accident frequency sends, the traffic congestion to intensify, the state of road

91、s to worsen day by day, the transportation question already became the puzzle world the serious problem. From this causes traffic order worsened already serious influence modernization urban construction and national eco

92、nomy development. Studies vehicles' general rule, to alleviates the traffic jam according to the actual </p><p>  2 Based on electronic information technology hypothesized instrument technological develo

93、pment and applied analysis </p><p>  Based on the electronic information technology's hypothesized instrument technology is one in new century science and technology core technologies, it contained the

94、computer technology, the automatic control technology, the information technology, the electronic technology and so on to be various. The American Country Instrument Company in the mid-1980s first proposed that based on

95、computer technology's hypothesized instrument's concept, leads the hypothesized test technology the recent developm</p><p>  The hypothesized instrument is by the computer, the application software a

96、nd the instrument hardware is composed. Unifies through the application procedure the general-purpose calculator and the functional module hardware, the user may operate this computer through the friendly graphical inter

97、face, completes to functions and so on test data gathering, analysis, judgment, demonstration and data processing. In the hypothesized instrument system's hardware is merely to solve the signal recuperation</p>

98、<p>  (1) Instrument's function and the performance realize mostly use either complete complex control, analysis or processing by the software generation of hardware technology abilities and so on hardware sof

99、tware, emphasized “the software is the instrument” the new concept, in the hypothesized instrument, besides the necessary hardware, has solved the present situation which the traditional instrument single instrument reso

100、urces cannot share.</p><p>  (2) Completing the demonstration, the memory, the printing and the microprocessor control supervisor by the computer and so on, namely, regardless of any function's instrumen

101、t may use or share computer's these public resources, but does not need the design which is redundant</p><p>  (3) The modulation, openness, the interchangeable characteristic and the resources duplicati

102、on, and may convenient, set up either the restructuring automated test system economically, the user may according to own need to select and purchase the modular instrument with different function, and may along with the

103、 test assignment different and the nimble combination, enhances the instrument resources to be possible the reusability.</p><p>  (4) From definition instrument function. Traditional instrument when leaving

104、the plant its function already determined by the manufacturer that the user cannot act according to own demand momentarily to make the revision, as soon as can only one machine use, but the hypothesized instrument may dr

105、aw support from the general data acquisition installment, establishes the different software testing plan, the structure nearly random function instrument, “the software is the instrument” the thought ma</p><p

106、>  (5) Causing between the hardware test equipment and computer's data exchange becomes very convenient, is direct and is rapid. Will use the hypothesized instrument technology, the test facility obtained test res

107、ult real-time, will transmit computer's memory or the hard disk directly through the computer main line, for the later analysis use. Thus, on the one hand has avoided the data transmission question, on the other hand

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論