2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩38頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、<p><b>  1 緒論</b></p><p>  由于集成電路制造技術(shù)的發(fā)展日新月異,電子電路的設(shè)計(jì)日趨復(fù)雜。為了能在設(shè)計(jì)電路實(shí)現(xiàn)之前,了解環(huán)境因素對電路的影響,我們可以利用電腦輔助軟件進(jìn)行電路模擬與分析設(shè)計(jì),并進(jìn)行輸入與輸出信號響應(yīng)的驗(yàn)證,可以有效地節(jié)省產(chǎn)品開發(fā)的時(shí)間與成本。因此,本文利用了multisim軟件進(jìn)行了電路仿真。</p><p>&

2、lt;b>  1.1 課題背景</b></p><p>  倒計(jì)時(shí)系統(tǒng)從原理上講是一種典型的數(shù)字電路,其中包括了組合邏輯電路和時(shí)序電路。目前,倒計(jì)時(shí)系統(tǒng)的功能越來越強(qiáng),且有多種專門的大規(guī)模集成電路可供選擇。學(xué)會制作倒計(jì)時(shí)系統(tǒng),可以進(jìn)一步的了解各種中小規(guī)模集成電路的作用并掌握實(shí)用方法和各種組合邏輯電路與時(shí)序電路的原理與使用方法。</p><p>  1.2 Multis

3、im軟件的應(yīng)用</p><p>  EDA技術(shù)是現(xiàn)代電子工業(yè)中不可缺少的一項(xiàng)技術(shù),是電類專業(yè)學(xué)生就業(yè)的基本條件之一。Multisim軟件把實(shí)驗(yàn)過程涉及到的電路、儀器以及實(shí)驗(yàn)結(jié)果等一起展現(xiàn)在使用者面前,在使用過程中就像在實(shí)驗(yàn)室中進(jìn)行,電路參數(shù)調(diào)整方便,絕不束縛思維想象和電路創(chuàng)新。</p><p>  Multisim軟件可以用于幾乎包含電類專業(yè)的所有學(xué)科的仿真教學(xué),例如:電工基礎(chǔ)、電路、低頻

4、電路、高頻電路、脈沖與數(shù)字電路、電視機(jī)電路、音響電路、電子測量電路、射頻電路、機(jī)電電路、模擬電子技術(shù)課程設(shè)計(jì)、數(shù)字電路課程設(shè)計(jì)以及綜合課程設(shè)計(jì)等。Multisim軟件仿真元器件多,大約一萬六千多個,其中包含各種信號源庫、基本元件庫、晶體二極管庫、晶體三極管庫、運(yùn)放庫、TTL器件庫、CMOS器件庫、單元邏輯器件庫及可編程邏輯器件庫、數(shù)字模擬混合庫、指示元件庫、雜散元器件庫、數(shù)學(xué)控制模型庫、機(jī)電元件庫。仿真儀器儀表使用方便,約束條件少。在仿

5、真中步驟如下:①根據(jù)原理圖放置元器件;②連接導(dǎo)線;③單擊仿真開關(guān)進(jìn)行仿真;④利用虛擬儀器儀表觀察仿真結(jié)果[1]。</p><p>  1.3 倒計(jì)時(shí)顯示系統(tǒng)的應(yīng)用</p><p>  倒計(jì)時(shí)顯示系統(tǒng)的計(jì)時(shí)裝置廣泛用于大型活動場所,成為人們?nèi)粘I钪胁豢扇鄙俚娘@示設(shè)備。其中倒計(jì)時(shí)數(shù)字系統(tǒng)分為兩部分,一部分是實(shí)現(xiàn)時(shí)、分、秒計(jì)時(shí)的功能的作用,另外一部分是實(shí)現(xiàn)日期的倒計(jì),起到倒計(jì)時(shí)顯示功能的作用

6、。</p><p>  2 設(shè)計(jì)目的和要求指標(biāo)</p><p>  設(shè)計(jì)任何產(chǎn)品,都要有每個產(chǎn)品的設(shè)計(jì)目的和要求指標(biāo),這樣在設(shè)計(jì)的時(shí)候,就能夠圍繞著目的和指標(biāo)進(jìn)行有效的設(shè)計(jì)。</p><p><b>  2.1 設(shè)計(jì)目的</b></p><p>  掌握倒計(jì)時(shí)顯示系統(tǒng)的設(shè)計(jì)、組裝與調(diào)試方法。設(shè)計(jì)一款多功能倒計(jì)時(shí)顯示系

7、統(tǒng),必須要明確所要實(shí)現(xiàn)的目的。倒計(jì)時(shí)系統(tǒng)電路是由主體電路和部分電路兩部分構(gòu)成,在整個倒計(jì)時(shí)系統(tǒng)中,基本功能部分由主體電路實(shí)現(xiàn),校準(zhǔn)功能由部分電路實(shí)現(xiàn)。校準(zhǔn)電路的作用非常關(guān)鍵,其作用是在時(shí)間不準(zhǔn)的情況下,進(jìn)行及時(shí)的校正并能設(shè)置正確時(shí)間參數(shù)。校準(zhǔn)電路的功能實(shí)現(xiàn),需要由555定時(shí)器電路給其提供一個基準(zhǔn)脈沖。一般倒計(jì)時(shí)系統(tǒng)設(shè)計(jì)中,秒的校準(zhǔn)電路可以不必設(shè)計(jì)。</p><p>  2.2 設(shè)計(jì)要求指標(biāo)</p>

8、<p>  在整個系統(tǒng)中,設(shè)計(jì)指標(biāo)非常重要,能否達(dá)到指標(biāo),就能說明所設(shè)計(jì)的產(chǎn)品是否合格的關(guān)鍵。在本次設(shè)計(jì)中,所要實(shí)現(xiàn)的指標(biāo)為:能夠正確數(shù)字顯示所有的時(shí)間參數(shù);利用555定時(shí)器制作一個產(chǎn)生標(biāo)準(zhǔn)頻率1Hz的脈沖信號電路;分與秒的計(jì)數(shù)要求為60進(jìn)制,時(shí)的計(jì)數(shù)要求為24進(jìn)制,天的計(jì)數(shù)方式要求為遞減功能;并且在電路中的天、時(shí)、分能夠?qū)崿F(xiàn)快速的校準(zhǔn)[4]。</p><p><b>  3 邏輯電路知識

9、</b></p><p>  本次設(shè)計(jì)中,主要利用數(shù)字電路進(jìn)行設(shè)計(jì),所以在設(shè)計(jì)前,必須要了解一些基本邏輯電路知識,這樣在設(shè)計(jì)的時(shí)候能夠更好的設(shè)計(jì)出所要的產(chǎn)品,減少不必要的設(shè)計(jì)。</p><p><b>  3.1 數(shù)制</b></p><p>  在表示數(shù)時(shí),僅用一位數(shù)碼管往往是不夠用的,必須用進(jìn)位計(jì)數(shù)的方法組成多位數(shù)碼。多位數(shù)碼

10、每一位的構(gòu)成以及從低位到高位的進(jìn)位規(guī)則稱為進(jìn)位計(jì)數(shù)制,簡稱進(jìn)位制。日常生活中,最常用的進(jìn)位數(shù)制是十進(jìn)制。而在數(shù)字系統(tǒng)中,多采用二進(jìn)制數(shù),有時(shí)也采用八進(jìn)制數(shù)或十六進(jìn)制數(shù)。二進(jìn)制代碼不僅可以表示數(shù)值,而且可以表示符號及文字,使信息交換靈活方便。BCD碼是用4位二進(jìn)制代碼代表1位十進(jìn)制數(shù)的編碼,有多種BCD碼形式,最常用的是8421BCD碼。倒計(jì)時(shí)顯示系統(tǒng)設(shè)計(jì)用到的計(jì)數(shù)器只識別二進(jìn)制數(shù)[2]。 </p><p>  3

11、.1.1 十進(jìn)制</p><p>  十進(jìn)制特點(diǎn)是“逢十進(jìn)一”,有0,1,2,3,4,5,6,7,8,9十個數(shù)碼。一個數(shù)的大小決定于數(shù)碼的位置,即數(shù)位。例如十進(jìn)制數(shù)1995可寫成展開式:</p><p>  1995=1*103+9*102+9*101+5*100</p><p>  3.1.2 二進(jìn)制</p><p>  二進(jìn)制數(shù)的特點(diǎn)

12、是“逢二進(jìn)一”,只有0,1兩個數(shù)碼。從二進(jìn)制數(shù)的特點(diǎn)可以看到它具有的優(yōu)點(diǎn)。第一,只有兩個數(shù)碼,只需反映兩種狀態(tài)的元件就可表示一位數(shù)。因此,構(gòu)成二進(jìn)制數(shù)電路的基本單元結(jié)構(gòu)簡單。第二,儲存和傳遞可靠。第三,運(yùn)算簡便,所以在計(jì)算機(jī)中都使用二進(jìn)制數(shù)。</p><p>  3.1.3 十六進(jìn)制</p><p>  用二進(jìn)制表示一個較大的數(shù),位數(shù)太多,書寫和閱讀均不方便,因此在計(jì)算機(jī)中還常常使用十六

13、進(jìn)制數(shù)。十六進(jìn)制的特點(diǎn)是“逢十六進(jìn)一”,有0-9,A-F這16個數(shù)碼。</p><p>  十進(jìn)制、二進(jìn)制、十六進(jìn)制數(shù)制對照表如表3.1所示。</p><p>  表3.1 數(shù)制對照表</p><p>  3.2 數(shù)字電路的特點(diǎn)與分類</p><p>  在本次設(shè)計(jì)中,主要采用的是中小規(guī)模集成器件,設(shè)計(jì)中的每部分?jǐn)?shù)字電路都有不同的特點(diǎn),根

14、據(jù)不同的設(shè)計(jì)原理,數(shù)字電路還可為多種類型。</p><p>  3.2.1 數(shù)字電路的特點(diǎn)</p><p>  (1)工作信號是二進(jìn)制的數(shù)字信號,在時(shí)間上和數(shù)值上是離散的(不連續(xù)),反映在電路上就是低電平和高電平兩種狀態(tài)(即0和1兩個邏輯值)。</p><p> ?。?)在數(shù)字電路中,研究的主要問題是電路的邏輯功能,即輸入信號的狀態(tài)和輸出信號的狀態(tài)之間的關(guān)系。&l

15、t;/p><p> ?。?)對組成數(shù)字電路的元器件的精度要求不高,只要在工作時(shí)能夠可靠地區(qū)分0和1兩種狀態(tài)即可[12]。</p><p>  3.2.2 數(shù)字電路的分類</p><p><b> ?。?)按集成度分類</b></p><p>  數(shù)字電路可分為小規(guī)模(SSI,每片數(shù)十器件)、中規(guī)模(MSI,每片數(shù)百器件)、

16、大規(guī)模(LSI,每片數(shù)千器件)和超大規(guī)模(VLSI,每片器件數(shù)目大于1萬)數(shù)字集成電路。集成電路從應(yīng)用的角度又可分為通用型和專用型兩大類型[17]。</p><p> ?。?)按所用器件制作工藝的不同分類</p><p>  數(shù)字電路可分為雙極型和單極型兩類。</p><p> ?。?)按照電路的結(jié)構(gòu)和工作原理的不同分類</p><p>  

17、數(shù)字電路可分為組合邏輯電路和時(shí)序邏輯電路兩類。組合邏輯電路沒有記憶功能,其輸出信號只與當(dāng)時(shí)的輸入信號有關(guān),而與電路以前的狀態(tài)無關(guān)。時(shí)序邏輯電路具有記憶功能,其輸出信號不僅和當(dāng)時(shí)的輸入信號有關(guān),而且與電路以前的狀態(tài)有關(guān)。</p><p>  3.3 元器件介紹</p><p>  在設(shè)計(jì)中,所需要的元器件種類很多,能否實(shí)現(xiàn)預(yù)期目的,首先要對元器件進(jìn)行分析并能了解元器件功能。</p&g

18、t;<p>  3.3.1 74LS00集成芯片</p><p>  74LS00芯片是2輸入端四與非門,其內(nèi)部結(jié)構(gòu)如圖3.1所示。</p><p>  圖3.1 74LS00結(jié)構(gòu)圖</p><p>  根據(jù)結(jié)構(gòu)圖3.1所示,其內(nèi)部結(jié)構(gòu)由4個2輸入與非門組成,管腳7接地,管腳14接Vcc。</p><p>  74LS00邏

19、輯功能如表3.2所示。</p><p>  表3.2 74LS00邏輯功能表</p><p>  根據(jù)表3.2可以得出這樣的結(jié)論:輸入端全為1時(shí),輸出端才為0;只要有一個輸入端為0,輸出端就為1。</p><p>  3.3.2 74LS10集成芯片</p><p>  74LS10芯片是3輸入端3與非門,其內(nèi)部結(jié)構(gòu)如圖3.2所示。三個輸

20、入端有一個為0,則輸出端為1,只有全部輸入端為1,輸出端才為0。</p><p>  圖3.2 74LS10結(jié)構(gòu)圖</p><p>  根據(jù)結(jié)構(gòu)圖3.2可以看出,74LS10芯片內(nèi)部由3個3輸入與非門組成,管腳7接地,管腳14接Vcc。74LS10邏輯功能如表3.3所示。</p><p>  表3.3 74LS10邏輯功能表</p><p&g

21、t;  根據(jù)表3.3可以得出這樣的結(jié)論:輸入端全為1,輸出端才為0;只要有一個輸入端為0,輸出端就為1。</p><p>  3.3.3 74LS20集成芯片</p><p>  74LS20芯片是4輸入雙與非門,其內(nèi)部結(jié)構(gòu)如圖3.3所示。</p><p>  根據(jù)結(jié)構(gòu)圖3.3可以看出,74LS20芯片內(nèi)部由2個4輸入與非門組成,管腳7接地,管腳14接Vcc。&l

22、t;/p><p>  74LS20邏輯功能如表3.4所示。</p><p>  表3.4 74LS20邏輯功能表</p><p>  根據(jù)表3.4可以得出這樣的結(jié)論:輸入端全為1時(shí),輸出端才為0;只要有一個輸入端為0,輸出端就為1。</p><p>  3.3.4 74LS04集成芯片</p><p>  74LS04

23、芯片是6反相器,其內(nèi)部結(jié)構(gòu)如圖3.4所示。</p><p>  圖3.4 74LS04結(jié)構(gòu)圖</p><p>  根據(jù)結(jié)構(gòu)圖3.4可以看出,74LS04芯片內(nèi)部由6個非門組成,管腳7接地,管腳14接Vcc。</p><p>  74LS04邏輯功能如表3.5所示。</p><p>  表3.5 74LS00邏輯功能表</p>

24、<p>  根據(jù)表3.5可以得出這樣的結(jié)論:輸入端為1時(shí),輸出端為0;輸入端為0,輸出端就為1。</p><p>  3.3.5 74LS51集成芯片</p><p>  74LS51是2-3/2-2輸入端雙與或非門,其內(nèi)部結(jié)構(gòu)如圖3.5所示。</p><p>  圖3.5 74LS51結(jié)構(gòu)圖</p><p>  根據(jù)結(jié)構(gòu)圖3

25、.5可以看出,74LS04芯片內(nèi)部由2個與或非門組成,管腳7接地,管腳14接Vcc。</p><p>  74LS51邏輯功能如表3.6所示。</p><p>  表3.6 74LS51邏輯功能表</p><p>  根據(jù)表3.6可以看出,H表示高電平,L表示低電平,×表示不定。</p><p>  3.3.6 74LS48B

26、CD-七段譯碼器/驅(qū)動器</p><p>  在倒計(jì)時(shí)顯示系統(tǒng)中,所要實(shí)現(xiàn)的目的是用七段顯示數(shù)碼管顯示出時(shí)間和天數(shù)。顯示器件的種類很多,而用來顯示驅(qū)動譯碼器有各種不同的規(guī)格。譯碼器也是一個多輸入、多輸出的組合邏輯電路。它的工作是把給定的代碼進(jìn)行“翻譯”,變成相應(yīng)的狀態(tài),使輸出通道中相應(yīng)的一路有信號輸出。譯碼器在數(shù)字系統(tǒng)中有廣泛的應(yīng)用,不僅用于代碼的轉(zhuǎn)換、終端的數(shù)字顯示,還用于數(shù)字分配,存儲器尋址和組合控制信號等。

27、譯碼器可以分為通用譯碼器和顯示譯碼器兩大類。在本次設(shè)計(jì)中所用到的譯碼器是共陰極譯碼器74LS48,用74LS48把輸入的8421BCD碼ABCD譯成七段輸出a~g,再由七段數(shù)碼管顯示相應(yīng)的數(shù)。 74LS48七段譯碼器/驅(qū)動器是一種功能較全的顯示譯碼器,輸出高電平有效,用來驅(qū)動共陰極顯示器[7]。它的管腳分布圖如圖3.6所示。</p><p>  圖3.6 74LS48譯碼器</p><p&g

28、t;  根據(jù)圖3.6可以看出,管腳7、1、2、6分別對應(yīng)輸入信號的A、B、C、D,管腳13、12、11、10、9、15、14分別對應(yīng)輸出到數(shù)碼管中的a~g腳。</p><p>  74LS48的功能表如表3.7所示。</p><p>  表3.7 74LS48的功能表</p><p>  在這要說明的是BI/RBO是一個特殊的端鈕,有時(shí)用作輸入,有時(shí)用作輸出。&l

29、t;/p><p>  由74LS48的功能表可以看出,為了增強(qiáng)器件的功能,設(shè)置了一些輔助控制端。下面交介紹這些控制端的功能。</p><p> ?。?)滅燈輸入BI/RBO</p><p>  當(dāng)BI/RBO作為輸入使用,且BI=0,數(shù)碼管七段全滅,與譯碼器信號輸入無關(guān)。</p><p> ?。?)試燈輸入端LT</p><p

30、>  當(dāng)LT=0時(shí),數(shù)碼管的七段全亮,與輸入的譯碼信號無關(guān)。該輸入端可用來檢查74LS48及數(shù)碼管的好壞。</p><p> ?。?)動態(tài)滅零輸入端RBI</p><p>  當(dāng)LT=1,RBI=0,且譯碼輸入全為0時(shí),該位輸出不顯示,即0字被熄滅。當(dāng)譯碼輸入非0時(shí),則正常顯示。</p><p> ?。?)動態(tài)滅零輸出端RBO</p><p

31、>  BI/RBO作為輸出使用時(shí),受控于LT和RBI。當(dāng)LT=1,且RBI=0,RBO=0,輸入代碼DCBA=0000時(shí),RBO=0。若LT=0或者LT=1且RBI=1,則RBO=1。該端主要用于顯示多位數(shù)字時(shí),多個譯碼器之間的連接。</p><p>  3.3.7 74LS160集成芯片</p><p>  集成十進(jìn)制同步加法計(jì)數(shù)器74LS160、74LS162的引腳排列圖、邏輯

32、功能示意圖與74LS161、74LS163相同。不同的是,74LS160和74LS162是十進(jìn)制同步加法計(jì)數(shù)器,而74LS161和74LS163是4位二進(jìn)制(16進(jìn)制)同步加法計(jì)數(shù)器。此外,74LS160和74LS162的區(qū)別是,74LS160采用的是異步清零方式,而74LS162采用的是同步清零方式。在本次設(shè)計(jì)中主要采用74LS160芯片,其引腳排列圖如圖3.7所示。</p><p>  根據(jù)圖3.7所顯示的內(nèi)

33、部結(jié)構(gòu)看以看出,管腳8接地,管腳16接高電平,管腳11、12、13、14為輸出信號,一般此4個輸出信號對應(yīng)譯碼器中的6、2、1、7管腳。管腳2為CP信號輸入脈沖,15腳為進(jìn)位脈沖信號。在本次設(shè)計(jì)中管腳3、4、5、6做接地處理。74LS160功能表如表3.8所示。</p><p>  表3.8 74LS160功能表</p><p>  在表3.8中,H表示高電平,L表示低電平,×

34、表示任意,根據(jù)表中的數(shù)據(jù)可以看出,當(dāng)MR腳為低電平,其他管腳任意時(shí),該芯片處于清零模式;當(dāng)管腳MR為高電平,PE為低電平,CET、CEP管腳任意時(shí),該芯片處于置數(shù)模式;當(dāng)管腳MR、PE、CET、CEP都為高電平時(shí),該芯片處于計(jì)數(shù)模式;當(dāng)管腳MR、PE為高電平,管腳CET為低電平,管腳CEP任意時(shí),該芯片處于保持模式;當(dāng)管腳MR、PE為高電平,管腳CEP為低電平,管腳CET任意時(shí), 該芯片處于保持模式[11]。</p>&l

35、t;p>  3.3.8 74LS192集成芯片</p><p>  74LS192是雙時(shí)鐘集成十進(jìn)制同步可逆計(jì)數(shù)器,其引腳排列圖和邏輯功能示意圖與74193相同。其引腳結(jié)構(gòu)圖如圖3.8所示。</p><p>  根據(jù)圖3.8所顯示的內(nèi)部結(jié)構(gòu)看以看出,管腳8接地,管腳16接高電平,管腳3、2、6、7為輸出信號,一般此4個輸出信號對應(yīng)譯碼器中的7、1、2、6管腳。管腳4為CP信號輸入脈

36、沖,13腳為進(jìn)位脈沖信號。在本次設(shè)計(jì)中管腳1、9、10、15不做處理。</p><p>  74LS192功能表如表3.9所示。</p><p>  表3.9 74LS192功能表</p><p>  在表3.9中,1表示高電平,0表示低電平,×表示任意, 表示脈沖。根據(jù)表中的數(shù)據(jù)可以看出,當(dāng)CR腳為高電平,其他管腳任意時(shí),該芯片處于清零模式;當(dāng)管腳L

37、D為低電平,CR為低電平,CPU 、CPD管腳任意時(shí),該芯片處于置數(shù)模式;當(dāng)管腳CPD、LD都為高電平,CR管腳為低電平,CPU為脈沖時(shí),該芯片處于加法計(jì)數(shù)模式;當(dāng)管腳CPU、LD為高電平,管腳CR為低電平,管腳CPD為脈沖時(shí),該芯片處于減法計(jì)數(shù)模式;當(dāng)管腳LD、CPU、CPD為高電平,管腳CR為低電平時(shí), 該芯片處于保持模式。</p><p>  3.3.9 LED顯示器</p><p&g

38、t;  在數(shù)字系統(tǒng)中,經(jīng)常需要將數(shù)字、文字和符號的二進(jìn)制編碼以成人們習(xí)慣的形式直觀地顯示出來,以便查看?,F(xiàn)在顯示器的產(chǎn)品很多,如熒光數(shù)碼管、半導(dǎo)體、顯示器、液晶顯示和輝光數(shù)碼管等。它們被廣泛地應(yīng)用在各種數(shù)字設(shè)備中。數(shù)顯的顯示方式一般有三種,一是重疊式顯示,二是點(diǎn)陣式顯示,三是分段式顯示。</p><p>  重疊式顯示:它是將不同的字符電極重疊起來,要顯示某字符,只需使相應(yīng)的電極發(fā)亮即可,如熒光數(shù)碼管就是利用重疊

39、式顯示。</p><p>  點(diǎn)陣式顯示:利用一定的規(guī)律進(jìn)行排列、組合,顯示不同的數(shù)字。例如火車站里顯示列車車次、始發(fā)時(shí)間的顯示就是利用點(diǎn)陣方式顯示的。</p><p>  分段式顯示:數(shù)碼由分布在同一平面上的若干段發(fā)光的筆劃組成。如電子手表、數(shù)字電子鐘的顯示就是利用分段式顯示。</p><p>  LED七段式數(shù)字顯示器分為共陰極和共陽極兩種。使用共陰極數(shù)碼管時(shí),

40、公共陰極需要接地,a~g由相應(yīng)的輸出為1的七段譯碼器輸出驅(qū)動。使用共陽極數(shù)碼管時(shí),公共陽極接電源,a~g由相應(yīng)的輸出為0的七段譯碼器輸出驅(qū)動。</p><p>  在整個電路圖中所用的顯示器都是共陰極形式,陰極必須接地。LED的管腳功能圖如圖3.9所示。</p><p>  圖3.9 LED管腳圖</p><p>  根據(jù)圖3.9所表示的LED數(shù)碼管內(nèi)部結(jié)構(gòu),管腳

41、3跟管腳8只要一腳選擇接地,管腳6不接,其余管腳分別對應(yīng)了a~g的顯示管,同時(shí)顯示管的管腳也分別連接到譯碼器中的13、12、11、10、9、15、14管腳。</p><p>  共陰極數(shù)碼管結(jié)構(gòu)如圖3.10所示。</p><p>  根據(jù)圖3.10所顯示的內(nèi)部結(jié)構(gòu)可以看出顯示管一腳共同接地。</p><p>  共陽極數(shù)碼管結(jié)構(gòu)如圖3.11所示。</p>

42、<p>  根據(jù)圖3.11所顯示的內(nèi)部結(jié)構(gòu)可以看出顯示管一腳共同接正電源。</p><p><b>  555定時(shí)器</b></p><p>  555定時(shí)器是應(yīng)用非常廣泛的中規(guī)模集成電路,外接幾個阻容元件,可以方便地構(gòu)成單穩(wěn)態(tài)、多諧振動器、施密特觸發(fā)器等各種電路,主要用于信號產(chǎn)生、變換、控制與檢測電路中[6]。555定時(shí)器的內(nèi)部結(jié)構(gòu)如圖3.12所示。&

43、lt;/p><p>  根據(jù)圖3.12看出其內(nèi)部組成,主要由比較器C1、C2,基本RS觸發(fā)器和集電極開路的放電三極管T等組成。管腳圖如圖3.13所示。</p><p>  圖3.13 555管腳圖</p><p>  根據(jù)圖3.13所示,各個管腳功能說明:</p><p><b>  1腳:芯片的地端;</b></p

44、><p>  2腳:芯片的觸發(fā)輸入端;</p><p>  3腳:芯片的輸出端;</p><p>  4腳:芯片的復(fù)位端;</p><p>  5腳:芯片的控制電壓輸入端;</p><p>  6腳:芯片的閾值輸入端;</p><p>  7腳:芯片的放電端;</p><p>

45、;  8腳:芯片的電源端。</p><p>  555定時(shí)器功能取決于比較器,當(dāng)在復(fù)位端加上低電平,無論其它輸入狀態(tài)如何,輸出電壓立即被置成低電平。正常工作時(shí),必須將其處于高電平。</p><p>  當(dāng)控制電壓不作用時(shí),比較器C1、C2的參考電壓分別是、。</p><p>  當(dāng)>,>時(shí),比較器C1的輸出為低電平,比較器C2的輸出為高電平,基本RS觸發(fā)

46、器被置0,T導(dǎo)通,輸出為低電平。</p><p>  當(dāng)<,<時(shí),比較器C1的輸出為高電平,比較器C2的輸出為低電平,基本RS觸發(fā)器被置1,T截止,輸出為高電平。</p><p>  當(dāng)<,>時(shí),基本RS觸發(fā)器R=1,S=1,觸發(fā)器狀態(tài)保持不變,電路保持原狀態(tài)。</p><p>  當(dāng)>,<時(shí),基本RS觸發(fā)器R=0,S=0,觸發(fā)器

47、狀態(tài)都為1,輸出為高電平,同時(shí)T截止。555定時(shí)器功能表如表3.10所示。</p><p>  表3.10 555定時(shí)器功能表</p><p>  從555功能表及其原理圖可見,只要在其相關(guān)的輸入端輸入相應(yīng)的信號就可得到各種不同的電路,例如多諧振蕩器、史密特觸發(fā)器、單穩(wěn)態(tài)觸發(fā)器等[16]。</p><p>  4 整體電路硬件設(shè)計(jì)方案</p>&l

48、t;p>  一般在設(shè)計(jì)之前都會有幾種方案的備選,在本次設(shè)計(jì)中,需要一個整體的設(shè)計(jì)方案,必須根據(jù)實(shí)際情況和條件因素,選擇最適合本次設(shè)計(jì)的硬件電路研究方案。</p><p>  4.1 數(shù)字計(jì)數(shù)器設(shè)計(jì)思想</p><p>  要想構(gòu)成倒計(jì)時(shí)數(shù)字顯示系統(tǒng),首先應(yīng)選擇一個脈沖源——能自動地產(chǎn)生穩(wěn)定的標(biāo)準(zhǔn)時(shí)間脈沖信號。所以需要設(shè)計(jì)適合于本次設(shè)計(jì)的脈沖信號,即“秒脈沖信號”(頻率為1Hz),輸

49、出的秒脈沖信號到計(jì)數(shù)器中進(jìn)行計(jì)數(shù)。由于計(jì)時(shí)的規(guī)律是:60秒為1分,60分為1小時(shí),24小時(shí)為1天,就需要分別設(shè)計(jì)60進(jìn)制計(jì)數(shù)器,24進(jìn)制計(jì)數(shù)器,還需要設(shè)計(jì)天數(shù)倒計(jì)式的計(jì)數(shù)器。各計(jì)數(shù)器輸出信號經(jīng)譯碼器譯碼后輸入到數(shù)字顯示器,使“天”、“時(shí)”、“分”、“秒”得以數(shù)字顯示出來。</p><p>  值得注意的是:任何記時(shí)裝置都有誤差,因此應(yīng)考慮校準(zhǔn)時(shí)間電路。校時(shí)電路一般采用自動快速調(diào)整和手動調(diào)整, “自動快速調(diào)整”可利

50、用分頻器輸出的不同頻率的脈沖使顯示時(shí)間自動迅速調(diào)整時(shí)間?!笆謩诱{(diào)整”可利用手動的節(jié)拍調(diào)準(zhǔn)顯示時(shí)間。在本次設(shè)計(jì)中,沒有設(shè)計(jì)分頻器,所以選擇手動調(diào)整方案進(jìn)行設(shè)計(jì)。</p><p>  4.2 電路基本工作原理</p><p>  整個倒計(jì)時(shí)顯示系統(tǒng)的基本電路由1Hz頻率發(fā)生器、計(jì)數(shù)電路、校正電路、動態(tài)顯示電路組成。其中計(jì)時(shí)電路是由計(jì)數(shù)器組成,秒位、分位是模60的計(jì)數(shù)器,時(shí)位是模24的計(jì)數(shù)器,

51、秒個位對信號頻率電路所提供1Hz的脈沖進(jìn)行計(jì)數(shù);校分電路是在分位用一個1Hz的脈沖替換秒十位的進(jìn)位脈沖,使計(jì)數(shù)器在1Hz的脈沖作用下計(jì)數(shù),達(dá)到校分效果;校時(shí)、校天電路的原理與校分電路相同;動態(tài)顯示電路是由數(shù)據(jù)選擇器、譯碼器、數(shù)碼管以及一個用于控制的計(jì)數(shù)器組成,計(jì)數(shù)器將時(shí)、分、秒的各位依次選通到對應(yīng)的數(shù)碼管,動態(tài)顯示數(shù)據(jù)[11]。</p><p>  4.3 倒計(jì)時(shí)顯示系統(tǒng)組成框圖</p><

52、p>  整體電路設(shè)計(jì)框架圖如圖4.1所示。</p><p>  圖4.1 整體設(shè)計(jì)框架圖</p><p>  從圖4.1整體設(shè)計(jì)框架圖中可以看出,本次設(shè)計(jì)的總體思路已經(jīng)比較明確,由振蕩器產(chǎn)生一個標(biāo)準(zhǔn)脈沖,此脈沖同時(shí)也是校準(zhǔn)電路的基脈沖,采取的方案為實(shí)現(xiàn)時(shí)間的正常顯示,天數(shù)的倒計(jì)功能,在分、時(shí)以及天數(shù)不準(zhǔn)的情況下,校準(zhǔn)脈沖電路的設(shè)計(jì)使得調(diào)整數(shù)據(jù)簡單。</p><p

53、>  4.4 單元電路部分</p><p>  在整體設(shè)計(jì)框架中,每一個單元模塊也要進(jìn)行詳細(xì)的設(shè)計(jì),只有單元模塊設(shè)計(jì)正確,然后把每部分單元設(shè)計(jì)模塊結(jié)合在一起進(jìn)行整體調(diào)試,才能確定整體的設(shè)計(jì)是否正確。</p><p>  4.4.1 秒脈沖電路</p><p>  產(chǎn)生秒脈沖的電路有多種形式,由于電路對脈沖的精確度要求比較高,設(shè)計(jì)中利用555定時(shí)器來制作秒信

54、號發(fā)生器。在調(diào)試電路時(shí),調(diào)試電位器,使輸出脈沖為1Hz。秒脈沖是倒計(jì)時(shí)顯示系統(tǒng)的核心部分,它的精度和穩(wěn)定度決定于數(shù)字中的質(zhì)量。其脈沖電路如圖4.2所示。</p><p>  圖4.2 秒脈沖電路圖</p><p>  從圖4.2中可以看出,秒脈沖的產(chǎn)生原理與電路中的電阻、電容有關(guān),一般脈沖的計(jì)算公式為F=1/0.7(Rw+2R)C,其中Rw為滑動變阻器,R就為其它兩電阻,電容C為圖中的C

55、3。對脈沖電路進(jìn)行模擬測試,測試結(jié)果如圖4.3所示。</p><p>  圖4.3 555定時(shí)器脈沖仿真電路</p><p>  根據(jù)原理,仿真沒有錯誤的情況下,可以進(jìn)行硬件實(shí)驗(yàn),結(jié)果如圖4.4所示。</p><p>  圖4.4 脈沖電路硬件實(shí)驗(yàn)圖</p><p>  4.4.2 時(shí)、分、秒電路</p><p>

56、;  在數(shù)字系統(tǒng)中使用得最多的時(shí)序電路要算是計(jì)數(shù)器了,計(jì)數(shù)器不僅能用于對時(shí)鐘脈沖計(jì)數(shù),還可以用于分頻,定時(shí),產(chǎn)生節(jié)拍脈沖和脈沖序列以及進(jìn)行數(shù)字運(yùn)算等。計(jì)數(shù)器的種類非常繁多,如果按計(jì)數(shù)器中的觸發(fā)器是否同時(shí)翻轉(zhuǎn)分類,可以將計(jì)數(shù)器分為同步式和異步式兩種,在同步計(jì)數(shù)器中,當(dāng)時(shí)鐘脈沖輸入時(shí)觸發(fā)器的翻轉(zhuǎn)是同時(shí)發(fā)生的,而在異步計(jì)數(shù)器中,觸發(fā)器的翻轉(zhuǎn)有先有后,不是同時(shí)發(fā)生的。</p><p>  如果按計(jì)數(shù)過程中計(jì)數(shù)器中的數(shù)字增

57、減分類,又可以將計(jì)數(shù)器分為加法計(jì)數(shù)器、減法計(jì)數(shù)器和可逆計(jì)數(shù)器,隨著計(jì)數(shù)脈沖的不斷輸入而作遞增計(jì)數(shù)的稱為加法計(jì)數(shù)器,作遞減計(jì)數(shù)的稱為減法計(jì)數(shù)器,可增可減的稱為可逆計(jì)數(shù)器,如果按計(jì)數(shù)器中數(shù)字的編碼方式分類,還可以分成二進(jìn)制計(jì)數(shù)器,二-十進(jìn)制計(jì)數(shù)器,格雷碼計(jì)數(shù)器等。</p><p>  秒信號經(jīng)秒計(jì)數(shù)器、分計(jì)數(shù)器、時(shí)計(jì)數(shù)器之后,分別輸出到顯示電路,以便實(shí)現(xiàn)用數(shù)字顯示時(shí)、分、秒的要求?!懊搿焙汀胺帧庇?jì)數(shù)器應(yīng)為六十進(jìn)制,而

58、“時(shí)”計(jì)數(shù)器應(yīng)為二十四進(jìn)制。要實(shí)現(xiàn)這一要求,可選用的中規(guī)模集成計(jì)數(shù)器較多,這里選用74LS160制作。</p><p>  (1)六十進(jìn)制計(jì)數(shù)電路</p><p>  在本次設(shè)計(jì)中,六十進(jìn)制由兩塊中規(guī)模集成十進(jìn)制計(jì)數(shù)器74LS160組成,一塊組成十進(jìn)制,另一塊組成六進(jìn)制。組合起來就構(gòu)成六十進(jìn)制計(jì)數(shù)器,以秒計(jì)數(shù)器電路為例,定時(shí)器555的3 腳輸出1Hz的脈沖信號,該信號直接加到秒計(jì)時(shí)器個位秒

59、計(jì)數(shù)器十位的管腳2,管腳2為時(shí)鐘脈沖端CLK,使兩者具備時(shí)鐘脈沖條件。個位秒計(jì)數(shù)器的CLR、ENT、ENP腳接高電平,構(gòu)成十分頻器。個位秒計(jì)時(shí)器對基準(zhǔn)秒時(shí)鐘脈沖計(jì)數(shù),接收一個脈沖時(shí),內(nèi)部計(jì)數(shù)加1,如果接收到第十個脈沖時(shí),個位秒計(jì)數(shù)器的15腳(RCO端)輸出由低電平跳變?yōu)楦唠娖?,加至十位秒?jì)數(shù)器計(jì)數(shù)進(jìn)行計(jì)數(shù),十位秒計(jì)數(shù)器計(jì)數(shù)到5。個位秒計(jì)數(shù)器計(jì)數(shù)到9時(shí),下個秒時(shí)鐘脈沖到來時(shí)執(zhí)行置數(shù)(零)操作。如圖4.5所示六十進(jìn)制計(jì)數(shù)器。</p&g

60、t;<p>  圖4.5 兩塊74LS160構(gòu)成的六十進(jìn)制計(jì)數(shù)器</p><p>  在圖4.5中,芯片74LS20與非門的作用是置數(shù),在數(shù)字計(jì)數(shù)到59時(shí),自動跳轉(zhuǎn)到00,這樣就實(shí)現(xiàn)了60進(jìn)制計(jì)數(shù)器制作。仿真結(jié)果顯示如圖4.6所示。</p><p>  圖4.6 60進(jìn)制仿真顯示結(jié)果圖</p><p>  根據(jù)圖4.6的仿真結(jié)果,可以進(jìn)行硬件實(shí)驗(yàn)的

61、制作,硬件實(shí)驗(yàn)圖如圖4.7所示。</p><p>  圖4.7 六十進(jìn)制硬件實(shí)驗(yàn)圖</p><p>  如圖4.7硬件實(shí)驗(yàn)圖所示,一個基準(zhǔn)脈沖的輸入就會在秒計(jì)數(shù)器的個位進(jìn)行計(jì)數(shù)。輸出信號經(jīng)過譯碼器的譯碼作用,就會將輸出信號的數(shù)值顯示在數(shù)碼管中。</p><p> ?。?)二十四進(jìn)制計(jì)數(shù)器</p><p>  設(shè)計(jì)方法與60進(jìn)制計(jì)數(shù)器的電路相

62、同,采用同步時(shí)序信號控制,用個位計(jì)數(shù)器的進(jìn)位端控制十位計(jì)數(shù)器的使能端,當(dāng)個位計(jì)數(shù)器有進(jìn)位時(shí),十位計(jì)數(shù)器工作。當(dāng)十位計(jì)數(shù)器為2,個位計(jì)數(shù)器為3的時(shí)候,同時(shí)給兩個芯片的預(yù)置端一個有效信號,使之置數(shù)為零。</p><p>  在本次設(shè)計(jì)中,二十四進(jìn)制由兩塊中規(guī)模集成十進(jìn)制計(jì)數(shù)器74LS160組成,一塊組成四進(jìn)制,另一塊組成二進(jìn)制。組合起來就構(gòu)成二十四進(jìn)制計(jì)數(shù)器,如圖4.8所示二十四進(jìn)制計(jì)數(shù)器。</p>&

63、lt;p>  圖 4.8 兩塊74LS160構(gòu)成的二十四進(jìn)制計(jì)數(shù)器</p><p>  在圖4.8中,芯片74LS10與非門的作用是置數(shù),在數(shù)字計(jì)數(shù)到23時(shí),自動跳轉(zhuǎn)到00,這樣就實(shí)現(xiàn)了24進(jìn)制計(jì)數(shù)器制作。</p><p>  仿真結(jié)果顯示如圖4.9所示。</p><p>  圖4.9 24進(jìn)制仿真顯示結(jié)果圖</p><p>  根

64、據(jù)圖4.9的仿真結(jié)果,可以進(jìn)行硬件實(shí)驗(yàn)的制作,硬件實(shí)驗(yàn)圖如圖4.10所示。</p><p>  圖4.10 二十四進(jìn)制硬件實(shí)驗(yàn)圖</p><p>  如圖4.10硬件實(shí)驗(yàn)圖所示,當(dāng)分計(jì)數(shù)器達(dá)到59時(shí),再有一個脈沖就會讓分計(jì)數(shù)器有一個到時(shí)計(jì)數(shù)器的進(jìn)位脈沖,該進(jìn)位脈沖輸入到時(shí)個位計(jì)數(shù)器,時(shí)計(jì)數(shù)器就開始計(jì)數(shù)工作。輸出信號經(jīng)過譯碼器的譯碼作用,就會將輸出信號的數(shù)值顯示在數(shù)碼管中。</p&g

65、t;<p> ?。?)倒計(jì)功能計(jì)數(shù)器</p><p>  在TTL和COMS400系列中,十進(jìn)制加減計(jì)數(shù)器的型號為74LS192和CD40192,這兩個型號的引腳完全相同,如果不考慮輸入、輸出電平問題則兩者可以直接互換。74LS192是一種帶預(yù)置的可以加、減計(jì)數(shù)(雙時(shí)鐘)的計(jì)數(shù)器。倒計(jì)時(shí)的電路圖如圖4.11所示。</p><p>  圖4.11 采用兩片74LS192構(gòu)成倒

66、計(jì)時(shí)計(jì)數(shù)器</p><p>  在圖4.11中,兩片74LS192芯片的級聯(lián),使得在時(shí)計(jì)數(shù)跳到00的時(shí)候產(chǎn)生一個進(jìn)位脈沖,此脈沖信號輸入到74LS192計(jì)數(shù)芯片的4腳,作為天計(jì)數(shù)器的個位天數(shù)倒計(jì)脈沖,天的個位倒計(jì)脈沖經(jīng)過十次遞減后,也將產(chǎn)生一個新的遞減脈沖,次脈沖再輸入到天倒計(jì)時(shí)計(jì)數(shù)器的十位計(jì)數(shù)器,這樣就能完成天數(shù)的倒計(jì)功能。根據(jù)試驗(yàn)原理進(jìn)行軟件仿真,仿真如圖4.12所示。</p><p>

67、;  圖4.12 倒計(jì)時(shí)仿真顯示結(jié)果圖</p><p>  根據(jù)圖4.12的仿真結(jié)果,可以進(jìn)行硬件實(shí)驗(yàn)的制作,硬件實(shí)驗(yàn)圖如圖4.13所示。</p><p>  圖4.13 倒計(jì)時(shí)硬件實(shí)驗(yàn)圖</p><p>  如圖4.13硬件實(shí)驗(yàn)圖所示,當(dāng)天倒計(jì)時(shí)計(jì)數(shù)器接收到一個脈沖信號的時(shí)候,天計(jì)數(shù)器的個位就會減1,同樣當(dāng)天十位計(jì)數(shù)器接收到一個脈沖信號的時(shí)候,同樣也會減1。

68、輸出信號經(jīng)過譯碼器的譯碼作用,就會將輸出信號的數(shù)值顯示在數(shù)碼管中。</p><p>  4.4.3 校準(zhǔn)電路</p><p>  當(dāng)?shù)褂?jì)時(shí)顯示系統(tǒng)出現(xiàn)誤差時(shí),需要校準(zhǔn)數(shù)據(jù)。本次所設(shè)計(jì)的校準(zhǔn)電路分別實(shí)現(xiàn)對天、時(shí)、分的校準(zhǔn)。因此,應(yīng)截?cái)嗵靷€位、時(shí)個位和分個位的直接計(jì)數(shù)通路,將正常計(jì)時(shí)信號與校正信號可以隨時(shí)切換的電路接入其中。由于機(jī)械開關(guān)具有震顫現(xiàn)象,因此用RS觸發(fā)器作為去抖動電路。校準(zhǔn)電路圖

69、如圖4.14所示。</p><p>  圖4.14 校準(zhǔn)控制電路</p><p>  根據(jù)圖4.14所示,以分計(jì)數(shù)的校準(zhǔn)控制電路為例,兩個與非門74LS00組成的電路,本質(zhì)是采用一個RS基本觸發(fā)器及單刀雙擲開關(guān)進(jìn)行設(shè)計(jì)。開關(guān)打向上時(shí),秒計(jì)數(shù)器向分計(jì)數(shù)器的進(jìn)位脈沖作為正常的計(jì)數(shù)脈沖,分計(jì)數(shù)器實(shí)現(xiàn)正常計(jì)數(shù);當(dāng)開關(guān)打向下時(shí),由定時(shí)器555產(chǎn)生的1Hz的基準(zhǔn)脈沖作為校正脈沖,分計(jì)數(shù)器就自動按秒的

70、頻率計(jì)數(shù),快速調(diào)準(zhǔn)時(shí)間,在校正分時(shí),當(dāng)計(jì)滿60時(shí)會自動向時(shí)進(jìn)位,校時(shí)電路、校天電路同校分電路的原理跟結(jié)構(gòu)一樣。</p><p>  4.4.4 譯碼與顯示電路</p><p>  顯示譯碼器的作用的把計(jì)數(shù)器輸出的信號轉(zhuǎn)換成能驅(qū)動數(shù)碼管正常顯示的段信號,以獲得數(shù)字顯示。常選用譯碼器有BCD-7段高有效譯碼器74LS47、BCD-7段低有效譯碼器74LS48。數(shù)碼管有共陽極數(shù)碼管和共陰極數(shù)碼

71、管。使用時(shí)要注意:選用顯示譯碼器時(shí)其輸出方式必須與數(shù)碼管匹配。如果7段數(shù)碼管是共陽顯示器,就需要選用74LS47譯碼器,反之,選用74LS48譯碼器。本次設(shè)計(jì)中采用74LS48譯碼器來譯碼和驅(qū)動,連接圖如圖4.15示。</p><p><b>  5 軟件測試</b></p><p>  在經(jīng)過理論分析之后,我們將上述所分析的每個部分進(jìn)行有規(guī)劃的連接,并在仿真軟件m

72、ultisim中將脈沖信號電路、秒計(jì)數(shù)器電路、分計(jì)數(shù)器電路、時(shí)計(jì)數(shù)器電路、天計(jì)數(shù)器電路連接組成一個完整的設(shè)計(jì)圖,利用仿真軟件測試電路是否正確,并能在原有的電路技術(shù)基礎(chǔ)上進(jìn)行改善,實(shí)現(xiàn)功能更加強(qiáng)大的倒計(jì)時(shí)顯示系統(tǒng)[4]。</p><p>  5.1 整體電路仿真實(shí)驗(yàn)</p><p>  把所有單元部分的電路組合成整個倒計(jì)時(shí)顯示系統(tǒng)電路圖,具體軟件仿真實(shí)驗(yàn)如圖5.1所示。</p>

73、<p>  (接下頁圖) ① ②</p><p> ?。ń由享搱D) ① ②</p><p> ?、?④</p><p>

74、<b> ?。ń酉马搱D)</b></p><p> ?。ń由享搱D) ③ ④</p><p>  圖5.1 軟件仿真實(shí)驗(yàn)圖</p><p>  根據(jù)圖5.1所示的模擬電路進(jìn)行的仿真結(jié)果可以看出,本設(shè)計(jì)的電路符合之前的設(shè)計(jì)要求,總電路由5

75、55定時(shí)器產(chǎn)生一個基準(zhǔn)脈沖,輸入到秒計(jì)數(shù)電路進(jìn)行計(jì)數(shù)顯示,當(dāng)分電路出現(xiàn)不準(zhǔn)確時(shí),校準(zhǔn)電路起到關(guān)鍵作用,把開關(guān)A打向下時(shí),根據(jù)校準(zhǔn)電路的原理,基準(zhǔn)脈沖就輸入到分計(jì)數(shù)電路,使得分計(jì)數(shù)電路的計(jì)數(shù)速度跟秒計(jì)數(shù)電路的速度一樣,這樣起到快速、方便的校準(zhǔn)作用。校準(zhǔn)時(shí)、天電路的原理跟校準(zhǔn)分原理相同,不同之處在于,當(dāng)天倒計(jì)式計(jì)數(shù)電路不準(zhǔn)的情況下,開關(guān)C打向下的時(shí)候,天計(jì)數(shù)電路的倒計(jì)速度跟秒計(jì)數(shù)速度相同。根據(jù)軟件的仿真結(jié)果,可以進(jìn)行具體試驗(yàn)的操作,硬件試驗(yàn)

76、效果如圖5.2所示。</p><p>  圖5.2 整體試驗(yàn)效果圖</p><p><b>  結(jié)束語</b></p><p>  在畢業(yè)設(shè)計(jì)過程中,我學(xué)習(xí)到了很多經(jīng)驗(yàn)。首先,怎么樣去查閱資料,收集與畢業(yè)設(shè)計(jì)有關(guān)的知識。其次,要完成一件工作,還得專心致志才行,細(xì)心耐心。第三,遇到困難要冷靜,要多想解決辦法,多嘗試。 </p>&

77、lt;p>  在設(shè)計(jì)過程,經(jīng)常會遇到這樣的情況,就是心里老想著這樣的接法可以行得通,但實(shí)際接上電路,總是實(shí)現(xiàn)不了。腦子里總是想著如何解決這些問題,如何想出更好的連接方法。不過整天想著這些問題,腦子和身體卻一點(diǎn)都不會覺得累,因?yàn)橛蟹N渴望得到知識的欲念戰(zhàn)勝了疲勞。我覺得做畢業(yè)設(shè)計(jì)也是對課本知識的鞏固和加強(qiáng),平時(shí)看課本時(shí),有時(shí)問題老是弄不懂。做完畢業(yè)設(shè)計(jì),那些問題就迎刃而解了。而且還可以記住很多東西。比如一些芯片的功能,平時(shí)看課本,這次

78、看了,下次就忘了,主要是因?yàn)闆]有動手實(shí)踐過吧!認(rèn)識來源于實(shí)踐,實(shí)踐是認(rèn)識的動力和最終目的,實(shí)踐是檢驗(yàn)真理的唯一標(biāo)準(zhǔn)。我也進(jìn)一步熟悉數(shù)字電路的設(shè)計(jì)與特點(diǎn),同時(shí)也基本上掌握了用555定時(shí)器和74LS系列的集成芯片對數(shù)字電路的設(shè)計(jì)。而且讓我了解到了電路設(shè)計(jì)的基本思路,增強(qiáng)了實(shí)踐動手能力,理論結(jié)合實(shí)際的能力加強(qiáng)。除此以外,我們還深深地認(rèn)識到嚴(yán)謹(jǐn)、認(rèn)真的科學(xué)態(tài)度在科學(xué)實(shí)驗(yàn)中發(fā)揮的重要作用。</p><p>  倒計(jì)時(shí)顯示系

79、統(tǒng)是本次設(shè)計(jì)中原理比較簡單的一個,但是它的組成部分多,電路連接有些復(fù)雜,出現(xiàn)問題后分析起來比較困難,所以我養(yǎng)成了完成一個部分就調(diào)試檢驗(yàn)一個部分,確保正確才進(jìn)行下一步工作,出現(xiàn)了問題只要在未檢驗(yàn)的部分查尋就可以很快找出,效果很不錯。最后能按時(shí)完成全部設(shè)計(jì)。</p><p><b>  參 考 文 獻(xiàn)</b></p><p>  [1] 洪海麗.Multisim7軟件在數(shù)

80、字電路教學(xué)中的應(yīng)用[J].北京:全國高等學(xué)校電子技術(shù)研究會議文集,2008.</p><p>  [2] 閻石.?dāng)?shù)字電子技術(shù)基礎(chǔ)[M].北京:高等教育出版社,2006.</p><p>  [3] 李彩云.999天以內(nèi)可預(yù)置倒計(jì)天數(shù)計(jì)數(shù)器的電路制作[J].景德鎮(zhèn):景德鎮(zhèn)高專學(xué)報(bào),2008.</p><p>  [4] 王傳新.子技術(shù)基礎(chǔ)實(shí)驗(yàn)—分析、調(diào)試、綜合設(shè)計(jì)[M

81、].北京:高等教育出版社,2006.</p><p>  [5] 張新德,陳金桂等.國內(nèi)外集成電路封裝及內(nèi)部框圖圖集[M].北京:機(jī)械工業(yè)出版社,2009.</p><p>  [6] 趙從毅.555定時(shí)器的功能圖表示法及其用法[J].合肥:安徽工業(yè)大學(xué)學(xué)報(bào),2002.</p><p>  [7] 付家才.電子工程實(shí)踐技術(shù)[M].北京:化學(xué)工業(yè)出版社,2003.&l

82、t;/p><p>  [8] 陳永真,韓梅,陳之勃等.全國大學(xué)生電子設(shè)計(jì)競賽硬件電路設(shè)計(jì)[M].北京:電子工業(yè)出版社,2009.</p><p>  [9] 周淑閣.模擬電子技術(shù)基礎(chǔ)[M].北京:高等教育出版社,2004.</p><p>  [10] 鄭江,戚海峰等.?dāng)?shù)字電路實(shí)驗(yàn)[M].南京:南京大學(xué)出版社,2008.</p><p>  [1

83、1] 周仲.國產(chǎn)集成電路應(yīng)用500例[M].北京:電子工業(yè)出版社,1992.</p><p>  [12] 白靜.?dāng)?shù)字電路與邏輯設(shè)計(jì)[M].西安:西安電子科技大學(xué)出版社,2009.</p><p>  [13] 王彩君,楊睿,周開鄰等.?dāng)?shù)字電路實(shí)驗(yàn)[M].北京:國防工業(yè)出版社,2003.</p><p>  [14] 胡漢章,葉香美等.?dāng)?shù)字電子技術(shù)與實(shí)踐[M].北京

84、:電子工業(yè)出版社,2009.</p><p>  [15] 楊欣.電路設(shè)計(jì)與仿真[M].北京:清華大學(xué)出版社,2006.</p><p>  [16] 陳有卿.555時(shí)基集成電路原理與應(yīng)用[M].北京:機(jī)械工業(yè)出版社,2006.</p><p>  [17] 陳永甫.?dāng)?shù)字電路基礎(chǔ)及快速識圖[M].北京:人民郵電出版社,2006.</p><p&g

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論