2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩42頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、<p>  本科畢業(yè)設(shè)計(論文)</p><p>  題目:公交車無線自動報站系統(tǒng)</p><p><b>  ——硬件設(shè)計</b></p><p>  系 別: 電子信息系 </p><p>  專 業(yè): </p><p>  班 級

2、: </p><p>  學(xué) 生: </p><p>  學(xué) 號: </p><p>  指導(dǎo)教師: </p><p><b>  年月</b></p><p>  畢業(yè)設(shè)計(論文)任務(wù)書</p>

3、<p>  1.畢業(yè)設(shè)計(論文)題目: 公交車無線自動報站系統(tǒng)—硬件設(shè)計 </p><p>  2.題目背景和意義:公共汽車為外出的人們提供了方便快捷的服務(wù),而公共汽車的報站直接影響服務(wù)的質(zhì)量。傳統(tǒng)的由乘務(wù)人員人工報站,因其效果太差和工作強(qiáng)度太大,在很多大城市已經(jīng)被淘汰。公交車自動報站器的設(shè)計主要是為了彌補(bǔ)改變傳統(tǒng)語音報站器必須有司機(jī)操控才能工作的落后

4、方式,進(jìn)站、出站自動播報站名及服務(wù)用語,為市民提供更人性化,更完善的服務(wù) 。 </p><p>  3.設(shè)計(論文)的主要內(nèi)容(理工科含技術(shù)指標(biāo)): 主要內(nèi)容:結(jié)合單片機(jī)技術(shù)、語音技術(shù)、測溫技術(shù)、無線數(shù)據(jù)傳輸技術(shù)和LCD顯示技術(shù),充分發(fā)揮了報站器的報站功能,同時應(yīng)具有測溫功能和漢字

5、顯示功能。實現(xiàn)了公交車進(jìn)站前預(yù)報前方到站的信息提示;技術(shù)指標(biāo):1、實時輪流顯示當(dāng)前時間,車內(nèi)溫度,下個站點(diǎn)的站名和一些溫馨的提示。2、完成無線數(shù)據(jù)的接收和解析,并且通過語音芯片和揚(yáng)聲器播放站臺信息和其他信息。3、供電電壓:直流+12V。 </p><p>  4.設(shè)計的基本要

6、求及進(jìn)度安排(含起始時間、設(shè)計地點(diǎn)): 1、掌握單片機(jī)原理及外圍接口電路設(shè)計。2、掌握Protel99se電路設(shè)計工具的使用。3、掌握一定的模電數(shù)電的基本概念原理。進(jìn)度安排:1--3周:查閱資料,了解公交車報站系統(tǒng)的背景以及國內(nèi)外的現(xiàn)狀;了解組成本次設(shè)計的各個芯片的資料以及做好開題報告的準(zhǔn)備工作。5--9周:根據(jù)查閱掌握的資料,擬定此次設(shè)計的制作方案,以及PCB板的制作。 10-11周:完成中期答辯。 12-16周:完成剩余部分,焊接,

7、調(diào)試電路板。 </p><p>  5.畢業(yè)設(shè)計(論文)的工作量要求 撰寫15000字論文 </p><p>  ① 實驗(時數(shù))*或?qū)嵙?xí)(天數(shù)): 300機(jī)時

8、 </p><p>  ② 圖紙(幅面和張數(shù))*: </p><p>  ③ 其他要求: 查閱資料不少于10份 </p><p>  指導(dǎo)教師

9、簽名: 年 月 日</p><p>  學(xué)生簽名: 年 月 日</p><p>  系主任審批: 年 月 日</p><p>  說明:1本表一式二份,一份由學(xué)生裝訂入冊,一份教師自留。</p>&l

10、t;p>  2 帶*項可根據(jù)學(xué)科特點(diǎn)選填。</p><p>  公交車無線自動報站系統(tǒng)——硬件設(shè)計</p><p><b>  摘 要</b></p><p>  隨著科學(xué)技術(shù)的日益發(fā)展和進(jìn)步,無人售票公交車在街頭多起來了,語音報站器也被廣泛使用,這在相當(dāng)大的程度上免除了乘務(wù)人員沿途報站的麻煩,給許多不熟悉公交線路的乘客帶來了方便。&l

11、t;/p><p>  對于報站器的設(shè)計國內(nèi)已有相關(guān)的文獻(xiàn)評論,但是總的來說以前的設(shè)計,功能相對比較單一,無法充分利用單片機(jī)系統(tǒng)的資源,最大程度的發(fā)揮報站器的全部功效。本文則結(jié)合單片機(jī)技術(shù)、語音技術(shù)、測溫技術(shù)、無線數(shù)據(jù)傳輸技術(shù)和LCD顯示技術(shù),不但發(fā)揮了報站器的報站功能,而且同時擁有測溫功能和漢字顯示功能。實現(xiàn)了公交車進(jìn)站前自動預(yù)報前方到站;全市公交車輛都可以到那站報那站,線路的變化都沒有關(guān)系;同樣可以實現(xiàn)自動預(yù)報站,

12、無須司機(jī)按鍵。不但給乘客帶來方便,而且能讓司機(jī)更加專心于駕駛,加強(qiáng)了道路行駛安全性。</p><p>  關(guān)鍵詞:語音;測溫;無線數(shù)據(jù)傳輸;LCD顯示</p><p>  The bus wireless Auto Reports station system</p><p>  ——Hardware Design</p><p><b

13、>  Abstract</b></p><p>  Along with the increasing development and progress of science and technology,</p><p>  the bus without ticket-seller becomes more and more popular today, at the

14、 same time the audio stop-reporting device finds a wide use. This exempts the bus stewards from the trouble of reporting stops along the route and facilitates the passengers who are not familiar with the route.</p>

15、<p>  In our country, there have been relevant documents about the design of stop-reporting device. But from the whole, their designs have the deficiency of comparative single function, and inability to make full

16、use of the resources of Monolithic machine system to bring out the entire efficacy of the device in largest degree. This paper incorporating the technology of Monolithic machine, Pronunciation,temperature-measuring, radi

17、o data transmission and LCD, gives a new design which have not only the s</p><p>  Key words: pronunciation; measure the temperature; wireless data transmission; LCD is demonstrate</p><p><b&

18、gt;  目 錄</b></p><p><b>  1 緒論1</b></p><p><b>  1.1引言1</b></p><p>  1.2課題研究的背景及意義1</p><p>  1.3報站器的動態(tài)發(fā)展趨勢及國內(nèi)外相關(guān)研究情況1</p><p

19、>  1.4系統(tǒng)可行性分析2</p><p>  1.5研究步驟和方法2</p><p>  1.6設(shè)計的主要任務(wù)3</p><p>  2 系統(tǒng)的硬件方案設(shè)計4</p><p>  2.1系統(tǒng)功能及技術(shù)要求4</p><p>  2.2采用的研究方案4</p><p>  

20、3 系統(tǒng)接口電路的分析與設(shè)計7</p><p>  3.1單片機(jī)的介紹7</p><p>  3.2系統(tǒng)電源部分的設(shè)計8</p><p>  3.3CPU其他外圍電路設(shè)計9</p><p>  3.3.1看門狗電路的設(shè)計9</p><p>  3.3.2時鐘電路10</p><p>

21、;  3.4電平轉(zhuǎn)換電路的設(shè)計10</p><p>  3.4.1 RS232標(biāo)準(zhǔn)10</p><p>  3.4.2電平轉(zhuǎn)換芯片的選擇11</p><p>  3.4.3無線數(shù)據(jù)模塊的工作介紹12</p><p>  3.5溫度采集電路設(shè)計13</p><p>  3.5.1溫度采集芯片DS18B20的功能

22、特點(diǎn)13</p><p>  3.5.2 DS18B20溫度傳感器的內(nèi)部硬件結(jié)構(gòu)14</p><p>  3.5.3 DS18B20和單片機(jī)的接口14</p><p>  3.6實時時鐘接口電路設(shè)計16</p><p>  3.6.1實時時鐘DS12887的特點(diǎn)和功能16</p><p>  3.6.2 DS

23、12887 時鐘芯片的結(jié)構(gòu)16</p><p>  3.6.3 DS12887和單片機(jī)的接口電路18</p><p>  3.7語音接口電路設(shè)計19</p><p>  3.7.1 ISD2560的功能特點(diǎn)19</p><p>  3.7.2 ISD2560的內(nèi)部結(jié)構(gòu)19</p><p>  3.7.3 IS

24、D2560和單片機(jī)的接口設(shè)計21</p><p>  3.8液晶顯示電路設(shè)計22</p><p>  3.8.1液晶顯示功能概述22</p><p>  3.8.2液晶顯示的外形尺寸圖23</p><p>  3.8.3液晶顯示的接口電路23</p><p>  3.9存儲電路24</p>&

25、lt;p>  4 電路原理圖的設(shè)計和電路板的制作印刷板26</p><p>  4.1電路原理圖的設(shè)計26</p><p>  4.2電路板的制作和印刷27</p><p>  4.2.1布局27</p><p>  4.2.2布線28</p><p>  4.2.3去耦合電容配置29</p&

26、gt;<p>  4.2.4單片機(jī)系統(tǒng)調(diào)試29</p><p><b>  5 總結(jié)31</b></p><p><b>  參考文獻(xiàn)32</b></p><p><b>  致 謝33</b></p><p>  畢業(yè)設(shè)計(論文)知識產(chǎn)權(quán)聲明34&

27、lt;/p><p>  畢業(yè)設(shè)計(論文)獨(dú)創(chuàng)性聲明35</p><p><b>  附錄136</b></p><p><b>  附錄237</b></p><p><b>  1 緒論</b></p><p><b>  1.1引言&l

28、t;/b></p><p>  作為一個城市的公交企業(yè),它既要代表政府體現(xiàn)當(dāng)?shù)爻鞘械男蜗?,又要盡一切力量減少政府投資,創(chuàng)造良好的社會效益和經(jīng)濟(jì)效益。而傳統(tǒng)的公交運(yùn)營系統(tǒng)的裝備和管理模式較難達(dá)到這兩者的完美結(jié)合。隨著科學(xué)技術(shù)的發(fā)展,公交應(yīng)用系統(tǒng)帶給公交企業(yè)的將不僅是形象的提升,也是效益的增長。雖然現(xiàn)在已經(jīng)有些車上已經(jīng)采用GPS定位系統(tǒng)自動報站,但其昂貴的成本,難以實現(xiàn)普及。為了實現(xiàn)城市公交車的自動報站,本文設(shè)

29、計了一套低廉、高性能的城市公交車無線自動報站系統(tǒng)。</p><p>  1.2課題研究的背景及意義</p><p>  當(dāng)前國內(nèi)主要大城市的公交車大都采用人工語音報站,即每到一站由司機(jī)或者乘務(wù)員按語音鍵來進(jìn)行報站,而車站的識別、語音的播放還要靠駕駛員控制,不僅增加了駕駛員的操作,還存在一定的安全隱患。另外由于受到各種因素如雨雪天路滑,車上擁擠,乘務(wù)員心情的變化等的影響,會出現(xiàn)報錯,漏報的情

30、況,給乘客帶來了不必要的麻煩,特別是給不熟悉本市地形的外來乘客帶來了不必要的麻煩,從而影響到了一個城市的窗口形象工程建設(shè),因此公共汽車自動報站系統(tǒng)的研發(fā)勢在必行。 </p><p>  公共汽車為外出的人們提供了方便快捷的服務(wù),而公共汽車的報站直接影響服務(wù)的質(zhì)量。傳統(tǒng)的由乘務(wù)人員人工報站,因其效果太差和工作強(qiáng)度太大,在很多大城市已經(jīng)被淘汰。近年來,隨著科學(xué)技術(shù)的日益發(fā)展和進(jìn)步,微型計算機(jī)技術(shù)已經(jīng)在許多領(lǐng)域得到了廣

31、泛的應(yīng)用。在聲學(xué)領(lǐng)域,微機(jī)技術(shù)與各種語音芯片相結(jié)合,即可完成語音的合成技術(shù),使得公交車報站器的實現(xiàn)成為可能,從而為市民提供了更加人性化的服務(wù)。鑒于傳統(tǒng)公交車報站系統(tǒng)的不足之處,結(jié)合公交車輛的使用特點(diǎn)及實際營運(yùn)環(huán)境,設(shè)計了一種由單片機(jī)控制的公交車自動報站系統(tǒng) 。公交車自動報站器的設(shè)計主要是為了彌補(bǔ)傳統(tǒng)語音報站器必須有司機(jī)操控才能工作的落后方式,進(jìn)站、出站自動播報站名及服務(wù)用語,為市民提供更人性化,更完善的服務(wù)。如果采用了我們研發(fā)的公共汽車

32、自動報站系統(tǒng)不會出現(xiàn)由于受到各種因素如雨雪天路滑,車上擁擠,乘務(wù)員心情的變化等的影響而出現(xiàn)報錯站,漏報的情況。從而給乘客帶來了極大的方便;不會因坐車過站而走冤枉路,為我們城市的窗口形象工程建設(shè)貢獻(xiàn)一份力。</p><p>  1.3報站器的動態(tài)發(fā)展趨勢及國內(nèi)外相關(guān)研究情況</p><p>  行駛在現(xiàn)代文明程度高的市區(qū),它是一道流動的風(fēng)景線,因而對整車外形乃至色彩都有更高的要求。作為公共汽

33、車還要求有醒目和減少乘務(wù)人員勞動強(qiáng)度的電子報站器,電子顯示路牌,無人售票裝置,前后電視監(jiān)視系統(tǒng)等新技術(shù)的采用也將越來越普及。</p><p>  公交車報站器在公交事業(yè)中占有舉足輕重的地位,它直接影響到公交車的服務(wù)質(zhì)量。目前公交車報站有三種方式:(1)利用GPS全球衛(wèi)星定位系統(tǒng)的公交車報站系統(tǒng)即在司機(jī)座位后面隔板上,安裝了一臺15英寸的液晶電視和GPS信號接收器,目前美國部分城市投入使用,國內(nèi)也有此類產(chǎn)品的研制開

34、發(fā),其功能強(qiáng)大,系統(tǒng)穩(wěn)定,但其投資昂貴,尤其是一些中小城市無法承受;(2)手動電子報站;(3)人工報站;目前我國部分城市采用手動電子報站和人工報站的方式,而它們都離不開司務(wù)人員,加大司乘人員的工作強(qiáng)度。</p><p>  1.4系統(tǒng)可行性分析</p><p>  要做一個實用性比較高的公交報站系統(tǒng),前期的調(diào)研是必不可少的。通過在各個車站收集公交司機(jī)及各個年齡階段的乘客對報站系統(tǒng)的看法和他

35、們心中理想的報站方式,得出以下兩個結(jié)論:(1)準(zhǔn)確報站:由于司機(jī)在操作報站系統(tǒng)時經(jīng)常會按錯鍵或忘記按鍵,而且在調(diào)整系統(tǒng)時會連續(xù)報出幾個站點(diǎn),讓不熟悉路線的乘客不知所措。(2)普通話報站:許多外來人員對此深有感觸,聽不懂的報站語言讓乘客不知是否已到達(dá)目的地,經(jīng)常下錯車帶來很多不必要的麻煩,因而普通話報站對于外來人員來往頻繁的大城市是非常有必要的。</p><p>  然而各個公交車站并沒有對現(xiàn)有報站系統(tǒng)進(jìn)行改進(jìn),根

36、據(jù)調(diào)查走訪,主要原因在于現(xiàn)今仍然沒有一個性能比較好且制作改裝成本低、可以普及的報站系統(tǒng),因而一直沒有對報站系統(tǒng)進(jìn)行改良。針對該現(xiàn)象,本文所設(shè)計的城市公交車無線自動報站系統(tǒng),其創(chuàng)新之處在:(1) 采用編碼技術(shù)對每一個車站點(diǎn)進(jìn)行編碼,當(dāng)車行駛到車站點(diǎn)信號范圍內(nèi)時,系統(tǒng)將自動識別該站并發(fā)送指令啟動語音報站系統(tǒng)進(jìn)行語音報站及站名顯示。(2)采用短距離無線數(shù)據(jù)通訊技術(shù),自動報站,高效準(zhǔn)確。(3)該系統(tǒng)能利用現(xiàn)有系統(tǒng)進(jìn)行改裝,而且改裝容易,制作成本

37、低,運(yùn)行幾乎不需要成本。</p><p>  1.5研究步驟和方法</p><p>  第一:理論準(zhǔn)備階段,理解題目,研究題目所涉及到的內(nèi)容,能夠較好的掌握有關(guān)題目的知識。</p><p>  第二:確定系統(tǒng)各個硬件部分電路,理清各個硬件部分電路與單片機(jī)之間的連接關(guān)系,并開始進(jìn)行相關(guān)硬件電路的資料收集。</p><p>  第三:規(guī)劃課題,確

38、定組成結(jié)構(gòu),提出大體系統(tǒng)框架并在結(jié)構(gòu)框架的基礎(chǔ)上提出原理框圖。</p><p>  第四:完成硬件設(shè)計部分并畫出各部分電路圖,將系統(tǒng)部件通過接口電路集合在一起,并畫出電路圖。</p><p>  第五:根據(jù)系統(tǒng)控制過程完成軟件設(shè)計部分,繪制出主流程圖。</p><p>  第六:檢查是否可以實現(xiàn)要求的控制目的,能夠按照要求實現(xiàn)控制功能。</p><

39、;p><b>  第七:整理論文。</b></p><p>  1.6設(shè)計的主要任務(wù)</p><p>  本文對系統(tǒng)的理論分析、硬件電路的設(shè)計制板和功能方法都有了詳細(xì)的介紹。</p><p><b>  系統(tǒng)設(shè)計的重點(diǎn):</b></p><p> ?。?)接口電路的設(shè)計</p>

40、<p> ?。?)RS232與TTL的電平轉(zhuǎn)換電路</p><p> ?。?)其他外圍電路的設(shè)計</p><p>  2 系統(tǒng)的硬件方案設(shè)計</p><p>  2.1系統(tǒng)功能及技術(shù)要求</p><p>  公交車無線自動報站系統(tǒng)是針對公共汽車公司需求而設(shè)計開發(fā)的,與其他國內(nèi)同類產(chǎn)品區(qū)別在于:采用全自動的方式進(jìn)行自動報站,完全不需

41、要駕駛員在進(jìn)站前操作鍵盤,分散注意力,真正實現(xiàn)自動報站功能。同時可以與LCD液晶顯示實時時鐘、車內(nèi)溫度和到站的文本信息,并配有真人語音報站功能,為公交車裝備現(xiàn)代化,提高服務(wù)檔次起到本產(chǎn)品應(yīng)盡的責(zé)任。</p><p><b>  技術(shù)要求:</b></p><p>  1) 無需按鍵實現(xiàn)自動語音報站,到站前每個10秒連續(xù)播報并配有文字提示。</p><

42、;p>  2) 車輛內(nèi)部溫度實時采集,溫度采集精度:±1℃</p><p>  3) LCD字符顯示</p><p><b>  4) 實時時鐘</b></p><p><b>  5) 語音報站</b></p><p>  6) 使用電源:直流:12V</p><

43、;p>  7) 使用環(huán)境:溫度:-40℃~+50℃</p><p>  2.2采用的研究方案</p><p>  根據(jù)公交車無線自動報站系統(tǒng)的功能要求及技術(shù)要求,為實現(xiàn)全自動報站,將本系統(tǒng)分成兩個子系統(tǒng):站臺系統(tǒng)和車載系統(tǒng)。兩個子系統(tǒng)的信息交換通過無線數(shù)據(jù)模塊來完成,進(jìn)而完成自動報站。整個系統(tǒng)的結(jié)構(gòu)圖如圖2.1所示。站臺系統(tǒng)上電自檢后,自動處于休眠狀態(tài)。當(dāng)車輛駛進(jìn)入到無線數(shù)據(jù)模塊收發(fā)

44、的距離范圍(具體可參考下一章)之內(nèi),站臺系統(tǒng)接收到車載系統(tǒng)發(fā)來的正確命令數(shù)據(jù)后(具體數(shù)據(jù)協(xié)議參考下一章),將本站的站臺信息回發(fā)到車載系統(tǒng),車載系統(tǒng)接收到站臺系統(tǒng)回發(fā)的數(shù)據(jù)后,根據(jù)數(shù)據(jù)協(xié)議,解析數(shù)據(jù)查表得到本站信息,然后通過語音芯片進(jìn)行語音輸出,并利用LCD進(jìn)行文本滾動提示乘客。</p><p><b>  站臺系統(tǒng)</b></p><p>  圖2.1 系統(tǒng)的結(jié)構(gòu)圖&

45、lt;/p><p>  根據(jù)上述的整個系統(tǒng)的功能和結(jié)構(gòu)圖,以及市場的調(diào)研和相關(guān)資料的查詢,確定整個系統(tǒng)硬件框圖如圖2.2所示。</p><p>  圖2.2 系統(tǒng)硬件框圖</p><p>  如圖2.2所示,整個系統(tǒng)有兩個子系統(tǒng)組成,車載系統(tǒng)和站臺系統(tǒng)。站臺系統(tǒng)功能比較簡單,主要完成TTL電平與RS232電平的轉(zhuǎn)換及串口數(shù)據(jù)的接收和發(fā)送。為此,該子系統(tǒng)硬件設(shè)計較簡單。公

46、交車無線自動報站系統(tǒng)主要的功能主要集中在車載系統(tǒng),為此,車載系統(tǒng)以單片機(jī)89C52為核心,利用DALLAS公司的DS18B20溫度傳感器和DS12887時鐘芯片,完成車內(nèi)溫度的實時檢測和時間數(shù)據(jù)的讀取,最終將溫度和時間數(shù)據(jù)送至LCD實時顯示。另外通過無線數(shù)據(jù)模塊和電平轉(zhuǎn)換電路完成車載系統(tǒng)與站臺系統(tǒng)的數(shù)據(jù)通信,從而實現(xiàn)全自動語音報站。</p><p>  本次畢業(yè)設(shè)計的主要任務(wù)是完成公交車無線自動報站系統(tǒng)的硬件電路

47、的設(shè)計,而車載系統(tǒng)的設(shè)計則是本設(shè)計最核心的部分。</p><p>  3 系統(tǒng)接口電路的分析與設(shè)計</p><p>  系統(tǒng)接口電路的設(shè)計系統(tǒng)的最重要的部分,在確定系統(tǒng)功能要求及系統(tǒng)硬件方案后,選定芯片,查閱芯片的使用手冊及其引腳的定義的后,根據(jù)CPU總線與I/O設(shè)備之間信號要求及時序進(jìn)行相應(yīng)的接口設(shè)計,通常設(shè)計接口時應(yīng)對完成的功能所需要的硬件和軟件作統(tǒng)籌考慮,確定那些功能由硬件完成,哪

48、些功能由軟件實現(xiàn),兩者之間做出合理的接口電路設(shè)計思路。在此基礎(chǔ)上,合理的選用I/O接口芯片,進(jìn)行硬件接口電路設(shè)計及如何與CPU的連接是至關(guān)重要的。</p><p>  微處理器是公交車無線自動報站系統(tǒng)的核心部件,它的結(jié)構(gòu)、特性對所研制的系統(tǒng)的性能有很大影響。因此,對微處理器的選擇尤為重要。我們通過選取和對比各種型號的微處理器芯片的功能特性和價格,發(fā)現(xiàn)AT98C5X以其低廉的價格、強(qiáng)大的功能,完全符合經(jīng)濟(jì)的原則并且

49、完全滿足本系統(tǒng)的功能要求。所以,在兩個子系統(tǒng)中我們分別選用AT89C51和AT89C52作為CPU芯片。</p><p><b>  3.1單片機(jī)的介紹</b></p><p>  單片機(jī)小系統(tǒng)選擇的是ATMEL公司的低功耗,高性能的CMOS8位單片機(jī)AT89C52芯片,片內(nèi)含8k bytes的可反復(fù)擦寫的只讀程序存儲器(PEROM)和256 bytes的隨機(jī)存取數(shù)據(jù)

50、存儲器(RAM),器件采用ATMEL公司的高密度,非易失性存儲技術(shù)生產(chǎn),兼容標(biāo)準(zhǔn)MCS-51指令系統(tǒng)及8052產(chǎn)品引腳兼容,片內(nèi)置通用8位中央處理器(CPU)和Flash存儲單元,功能強(qiáng)大AT89C52單片機(jī)可提供許多高性價比的應(yīng)用場合,可靈活應(yīng)用于各種控制領(lǐng)域。</p><p><b>  主要性能參數(shù):</b></p><p>  與MCS-51產(chǎn)品指令和引腳完全

51、兼容</p><p>  8k字節(jié)可重復(fù)擦寫Flash閃速存儲器</p><p><b>  1000次擦寫周期</b></p><p>  全靜態(tài)操作:0Hz-24Hz</p><p><b>  三級加密程序存儲器</b></p><p>  256乘8字節(jié)內(nèi)部RAM&l

52、t;/p><p>  32個可編程I/O口線</p><p>  3個16位定時/計數(shù)器</p><p><b>  8個中斷源</b></p><p>  可編程串行UART通道</p><p><b>  低功耗空閑和掉電模</b></p><p> 

53、 AT89C52的引腳排列如圖所示</p><p>  圖3.1 AT89C52的引腳排列</p><p>  3.2系統(tǒng)電源部分的設(shè)計</p><p>  在本系統(tǒng)中所涉及的兩個子系統(tǒng)均需要用到電源,電源是系統(tǒng)的能量來源,另外電源電壓的要求及其穩(wěn)定性和可靠性將是系統(tǒng)首要考慮的問題。兩個子系統(tǒng)的CPU及外圍芯片的供電均需要穩(wěn)定的5V電源,因此兩個子系統(tǒng)的電源電路的設(shè)

54、計類似,因此在本設(shè)計中以車載系統(tǒng)的電路設(shè)計為例,必須給CPU提供一個比較穩(wěn)定的+5V電源電壓。在此本次設(shè)計中采用了三端集成穩(wěn)壓LM7805CV來穩(wěn)壓。</p><p>  集成穩(wěn)壓器LM7805CV是將非穩(wěn)定的直流電壓變換成穩(wěn)定的直流電壓的IC芯片。LM7805CV集成穩(wěn)壓器有輸入端、輸出端及公共端三個引腳。芯片內(nèi)部設(shè)有過流保護(hù)、過熱保護(hù)及調(diào)整管安全保護(hù)電路,其所需外接元件少,使用方便、可靠。廣泛地用于各種電子設(shè)

55、備中。LM7805CV最大的輸入電壓為35V,最小輸入電壓為7.5V,其輸出電壓為+5V。具體電路圖3.2如下:</p><p><b>  圖3.2 電源電路</b></p><p>  LM7805CV輸入端1腳接VS,VS是+12V直流電壓,符合LM7805CV的電壓范圍,管腳2接地,這樣管腳3就可輸出+5V的穩(wěn)定電壓。為了濾除輸入和輸出電壓上的紋波,分別在LM

56、7805CV的輸入和輸出管腳分別接以電解電容和陶瓷電容,使其得到較穩(wěn)定的電源電壓。</p><p>  3.3CPU其他外圍電路設(shè)計</p><p>  3.3.1看門狗電路的設(shè)計</p><p>  看門狗就是在程序飛跑或死機(jī)時,對系統(tǒng)進(jìn)行復(fù)位重新置位,以使系統(tǒng)恢復(fù)正常運(yùn)行的一種專用電路?,F(xiàn)在常用的看門狗主要有兩種:軟件狗和硬件狗。軟件狗實際上就是通常說的軟件指令

57、,一旦程序跑飛,只要程序指針指向這些地址,便立即被強(qiáng)行跳轉(zhuǎn)至程序的開頭或其他指定地址處,從而使程序繼續(xù)正常執(zhí)行。</p><p>  硬件狗現(xiàn)在更多的被采用。所謂硬件狗,就是一個能夠發(fā)送“復(fù)位”信號的計數(shù)器或定時器電路。以前常用的硬件狗由脈沖計數(shù)器和一些外圍電路組成,計數(shù)清零和溢出端分別和單片機(jī)的I/O與RST相連接。其工作原理是:由脈沖發(fā)生電路產(chǎn)生脈沖,計數(shù)器對脈沖進(jìn)行計數(shù)。程序正常運(yùn)行時,CPU在計數(shù)器溢出之

58、前通過I/O口對計數(shù)器清零,使計數(shù)器不能溢出,此時由于溢出端與CPU的RST端相連接,所以使單片機(jī)系統(tǒng)“復(fù)位”,使其能重新正常運(yùn)行。</p><p>  單片機(jī)系統(tǒng)的供電電源有時候因為各種不同原因而不穩(wěn)定,發(fā)生電壓波動或瞬時掉電的現(xiàn)象,從而影響系統(tǒng)的正常工作和數(shù)據(jù)保存。如果能對電源電壓進(jìn)行監(jiān)視,當(dāng)電源電壓下降到某一特定值時,發(fā)生一個信號給單片機(jī)和電源切換電路,那么就使CPU及時進(jìn)行必要的操作和維持工作電源的穩(wěn)定。

59、MAX813能夠完全滿足要求。</p><p>  所以本系統(tǒng)采用MAX813作為電源監(jiān)視和看門狗芯片。電路圖如圖3.3所示。將第7腳接CPU的復(fù)位腳,第1腳與第8腳相連,第6腳與CPU的P1.6相連。在軟件設(shè)計中,P1.6不斷輸出脈沖信號。如系統(tǒng)死機(jī)導(dǎo)致P1.6無脈沖信號輸出,則1.6秒后在MAX813的第8腳輸出低電平。該低電平加到第1腳,使MAX813產(chǎn)生復(fù)位輸出,復(fù)位脈沖寬度的典型值為200ms,使CPU

60、有效復(fù)位,擺脫死循環(huán)的困境。</p><p>  看門狗復(fù)位電路如圖3.3所示:如果在1.6秒內(nèi)沒有觸發(fā)該電路(即第6腳無脈沖輸入)則第8腳輸出一個低電平,是單片機(jī)復(fù)位</p><p>  圖3.3 看門狗電路</p><p>  電路連接圖如圖所示。在這個系統(tǒng)中,P16作為看門狗的“喂狗”信號;REST和單片機(jī)的復(fù)位信號連接。</p><p&g

61、t;<b>  3.3.2時鐘電路</b></p><p>  在單片機(jī)芯片內(nèi)部有一個高增益反相放大器,其輸入端為單片機(jī)的XTAL1,其出端為單片機(jī)的XTAL2。而在芯片的外部,XTAL1和XTAL2之間跨接晶體振蕩器和兩個33PF微調(diào)電容,從而構(gòu)成一個穩(wěn)定的自激振蕩器。在此我們采用11.0592MHZ即可滿足兩個子系統(tǒng)的要求。</p><p><b>  

62、圖3.4 晶振電路</b></p><p>  3.4電平轉(zhuǎn)換電路的設(shè)計</p><p>  車載系統(tǒng)與站臺系統(tǒng)均采用無線數(shù)據(jù)模塊傳輸數(shù)據(jù),其接口是RS232串口,電平是RS232電平,而單片機(jī)的串口是TTL電平,為此在車載系統(tǒng)和站臺系統(tǒng)均需要設(shè)計RS232電平轉(zhuǎn)換電路。</p><p>  3.4.1 RS232標(biāo)準(zhǔn)</p><p&

63、gt;  RS-232C標(biāo)準(zhǔn)(協(xié)議)的全稱是EIA-RS-232C標(biāo)準(zhǔn),其中EIA(Electronic Industry Association)代表美國電子工業(yè)協(xié)會,RS(ecommeded standard)代表推薦標(biāo)準(zhǔn),232是標(biāo)識號,C代表RS232的最新一次修改(1969),在這之前,有RS232B、RS232A。它規(guī)定連接電纜和機(jī)械、電氣特性、信號功能及傳送過程。常用物理標(biāo)準(zhǔn)還有有EIA;RS-232-C、EIA;RS-4

64、22-A、EIA;RS-423、EIA;RS-485。 我們這里用的是EIA;RS-232-C(簡稱232,RS232)。</p><p>  EI RS-232C與TTL轉(zhuǎn)換:EIA-RS-232C是用正負(fù)電壓來表示邏輯狀態(tài),與TTL以高低電平表示邏輯狀態(tài)的規(guī)定不同。因此,為了能夠同計算機(jī)接口或終端的TTL器件連接,必須在EIA-RS-232C與TTL電路之間進(jìn)行電平和邏輯關(guān)系的變換。MAX232芯片可完成TT

65、L←→EIA雙向電平轉(zhuǎn)換。</p><p>  這里我們選用DB-9連接器,在AT機(jī)及以后,不支持20mA電流環(huán)接口,使用DB-9連接器,作為提供多功能I/O卡或主板上COM1和COM2兩個串行接口的連接器。它只提供異步通信的9個信號。DB-25型連接器的引腳分配與DB-25型引腳信號完全不同。因此,若與配接DB-25型連接器的DCE設(shè)備連接,必須使用專門的電纜線。</p><p>  電

66、纜長度:在通信速率低于20kb/s時,RS-232C所直接連接的最大物理距離為15m(50英尺)。傳輸電纜長度由RS-232C標(biāo)準(zhǔn)規(guī)定在碼元畸變小于4%的情況下,傳輸電纜長度應(yīng)為50英尺,其實這個4%的碼元畸變是很保守的,在實際應(yīng)用中,約有99%的用戶是按碼元畸變10-20%的范圍工作的,所以實際使用中最大距離會遠(yuǎn)超過50英尺,在9600的時候可以達(dá)到250英尺,即75米。</p><p>  3.4.2電平轉(zhuǎn)換

67、芯片的選擇</p><p>  在兩個系統(tǒng)中均采用MAX232APE,它是一種雙組驅(qū)動器/接收器,片內(nèi)含有一個電容性電壓發(fā)生器以便在單5V電源供電時提供EIA/TIA-232-E電平。每個接收器將EIA/TIA-232-E電平輸入轉(zhuǎn)換為5V TTL/CMOS電平。這些接收器具有1.3V的典型門限值及0.5V的典型遲滯,而且可以接收V的輸入。每個驅(qū)動器將TTL/CMOS輸入電平轉(zhuǎn)換為EIA/TIA-232-E電平。

68、MAX232的工作溫度范圍為0℃至70℃。</p><p>  MAX232APE的特點(diǎn)</p><p><b>  單5V電源工作</b></p><p>  LinBiCMOS工藝技術(shù)</p><p>  兩個驅(qū)動器及兩個接收器</p><p><b>  V的輸入電平</b&

69、gt;</p><p>  低電源電流:典型值是8mA</p><p>  符合甚至優(yōu)于ANSI標(biāo)準(zhǔn)EIA/TIA-232-E及ITU推薦標(biāo)準(zhǔn)V.28</p><p>  可與Maxim公司的MAX232互換</p><p>  ESD保護(hù)大于MIL-STD-883(方法3015)標(biāo)準(zhǔn)的2000V</p><p>&l

70、t;b>  引腳排列</b></p><p>  圖3.5 MAX232芯片引腳圖</p><p>  3.4.3無線數(shù)據(jù)模塊的工作介紹</p><p>  本設(shè)計采用采用LAC-UP型微功率無線數(shù)據(jù)傳輸模塊,其特點(diǎn)如下:</p><p>  微功率發(fā)射:最大發(fā)射功率為10MW</p><p>  工

71、作頻率在1SM頻段,無需申請頻點(diǎn)。載頻頻率430MHZ-434MHZ,也可提供315/868/915MHZ載頻。</p><p>  高抗干擾能力和低誤碼率:基于FSK的調(diào)制方式,采用高效前向糾錯信道編碼技術(shù),提高了數(shù)據(jù)抗突發(fā)干擾和隨機(jī)干擾的能力,在信道誤碼率為10-2時,可得到實際誤碼率10-5~10-6。</p><p>  傳輸距離遠(yuǎn):在視距情況下,天線高度>3M,可靠傳輸距離>30

72、0M(BER=10-3/1200Bit/s)</p><p>  透明的數(shù)據(jù)傳輸:提供透明的數(shù)據(jù)接口,能使用任何標(biāo)準(zhǔn)或非標(biāo)準(zhǔn)的用戶協(xié)議。自動過濾空中產(chǎn)生的噪音信號及假數(shù)據(jù)。</p><p>  多信道,多速率:LAC-UP型模塊標(biāo)準(zhǔn)配置提供8個信道,根據(jù)用戶需要,可擴(kuò)展到16/32信道。滿足用戶多種通信組合方式。同時,LAC-UP型可提供1200Bit/s,2400Bit/s,4800Bi

73、t/s,9600Bit/s等多種通信波特率,并且接口波特率與無線傳輸波特率一樣,以滿足客戶設(shè)備對不同波特率的需要。</p><p>  雙串口,3種接口方式:LAC-UP型模塊提供2個串口,COM1為TTL電平UART接口。COM2由用戶自定義為標(biāo)準(zhǔn)的RS232/RS485口。</p><p>  大的數(shù)據(jù)緩沖區(qū):可1次傳輸無限長度的數(shù)據(jù),用戶編程更靈活。</p><p

74、>  智能數(shù)據(jù)控制,用戶無需編制多余的程序。</p><p>  低功耗及休眠功能:接收情況下,電流<30MA,休眠時電流僅為<10UA。</p><p>  高可靠性,體積小,重量輕:采用CC1000單片射頻集成電路及單片MCU,外圍電路少,可靠性高,故障率低。</p><p>  查閱MAX232相關(guān)資料及無線模塊接口定義后,設(shè)計電平轉(zhuǎn)換電路圖如圖3.6所

75、示。</p><p>  圖3.6 電平轉(zhuǎn)換電路</p><p>  3.5溫度采集電路設(shè)計</p><p>  由于本設(shè)計是測溫電路,可以使用熱敏電阻之類的器件利用其感溫效應(yīng),在將隨被測溫度變化的電壓或電流采集過來,進(jìn)行A/D轉(zhuǎn)換后,就可以用單片機(jī)進(jìn)行數(shù)據(jù)的處理,在顯示電路上,就可以將被測溫度顯示出來,這種設(shè)計需要用到A/D轉(zhuǎn)換電路,感溫電路比較麻煩。進(jìn)而考慮到用

76、溫度傳感器,在單片機(jī)電路設(shè)計中,大多都是使用傳感器,所以這是非常容易想到的,所以可以采用一只溫度傳感器DS18B20,此傳感器,可以很容易直接讀取被測溫度值,進(jìn)行轉(zhuǎn)換,就可以滿足設(shè)計要求</p><p>  3.5.1溫度采集芯片DS18B20的功能特點(diǎn)</p><p>  本設(shè)計所介紹的數(shù)字溫度計與傳統(tǒng)的溫度計相比,具有讀數(shù)方便,測溫范圍廣,測溫準(zhǔn)確,其輸出溫度采用數(shù)字顯示,主要用于對測

77、溫比較準(zhǔn)確的場所,或科研實驗室使用。</p><p>  DS18B20 單線數(shù)字溫度計</p><p>  獨(dú)特的單線接口僅需一個端口引腳進(jìn)行通訊</p><p><b>  簡單的多點(diǎn)分布應(yīng)用</b></p><p><b>  無需外部器件</b></p><p>&l

78、t;b>  可通過數(shù)據(jù)供電</b></p><p><b>  零待機(jī)功耗</b></p><p>  測溫范圍-55~+125以0.5遞增。華氏器件-67+257,以0.9遞增。</p><p>  溫度以9位數(shù)字量讀出</p><p>  溫度數(shù)字量轉(zhuǎn)換時間200ms(典型值)</p>

79、<p>  用戶可定義的非易失性溫度報警設(shè)置</p><p>  報警搜索命令識別并標(biāo)志超過程序限定溫度(溫度報警條件)的器件</p><p>  應(yīng)用包括溫度控制,工業(yè)系統(tǒng),消費(fèi)品,溫度計或任何熱感測系統(tǒng)</p><p>  DS18B20通過一個單總線口發(fā)送或接收信息,因此在中央微處理器和DS18B20之間僅需一條連接線(加上地線)。用于讀寫和溫度轉(zhuǎn)

80、換的電源可以從數(shù)據(jù)線本身獲得,無需外部電源。因為每個DS18B20都有一個獨(dú)特的片序列號,所以多只DS18B20可以同時連在一根單總線上,這樣就可以把溫度傳感器放在許多不同的地方。這一特性在HVAC環(huán)境 控制,探測建筑物,儀器或機(jī)器的溫度以及過程監(jiān)測和控制等方面非常有用。</p><p>  3.5.2 DS18B20溫度傳感器的內(nèi)部硬件結(jié)構(gòu)</p><p>  圖3.7 DS18B20內(nèi)

81、部結(jié)構(gòu)示意圖</p><p>  3.5.3 DS18B20和單片機(jī)的接口</p><p>  DS18B20可以采用兩種方式供電,一種是采用電源供電方式,此時DS18B20的1腳接地,2腳作為信號線,3腳接電源。另一種是寄生電源供電方式,本設(shè)計采用第二種供電方式,如圖3.8所示單片機(jī)P3.4口接單線總線,為保證在有效的DS18B20時鐘周期內(nèi)提供足夠的電流,可用一個4.7k電阻來完成對總

82、線的上拉。</p><p>  當(dāng)DS18B20處于寫存儲器操作和溫度A/D轉(zhuǎn)換操作時,總線上必須有強(qiáng)的上拉,上拉開啟時間最大為10us。采用寄生電源供電方式時VDD端接地。由于單線制只有一根線,因此發(fā)送接口必須是三態(tài)的。</p><p>  圖3.8 原理連接圖</p><p>  DS18B20雖然具有測溫系統(tǒng)簡單、測溫精度高、連接方便、占用口線少等優(yōu)點(diǎn)但在實際

83、應(yīng)用中也應(yīng)注意以下幾方面的問題:</p><p>  (1)較小的硬件開銷需要相對復(fù)雜的軟件進(jìn)行補(bǔ)償,由于DS18B20與微處理器間采用的是單總線的通信協(xié)議,因此,在對DS18B20進(jìn)行讀寫編程時,必須嚴(yán)格的保證復(fù)位時序和讀寫時序,否則將無法讀取測溫結(jié)果。在使用C等高級語言進(jìn)行系統(tǒng)程序設(shè)計時,對DS18B20操作部分最好采用匯編語言實現(xiàn)。</p><p>  (2)在DS18B20的有關(guān)資

84、料中均未提及單總線上所掛DS18B20數(shù)量問題,容易使人誤認(rèn)為可以掛任意多個DS18B20,在實際應(yīng)用中并非如此。當(dāng)單總線上所掛DS18B20超過8個時,就需要解決微處理器的總線驅(qū)動問題。</p><p>  (3)連接DS18B20的總線電纜是有長度限制的。當(dāng)采用普通信號電纜傳輸長度超過50m時,讀取的測溫數(shù)據(jù)將發(fā)生錯誤。當(dāng)將總線電纜改為雙絞線帶屏蔽電纜時,正常通訊距離可達(dá)150m,當(dāng)采用每米絞合次數(shù)更多的雙絞

85、線帶屏蔽電纜時,正常通訊距離進(jìn)一步加長。這種情況主要是由總線分布電容使信號波形產(chǎn)生畸變造成的。因此,在用DS18B20進(jìn)行長距離測溫系統(tǒng)設(shè)計時要充分考慮總線分布電容和阻抗匹配問題。 </p><p>  (4)在DS18B20測溫程序設(shè)計中,向DS18B20發(fā)出溫度轉(zhuǎn)換命令后,程序總要等待DS18B20的返回信號,一旦DS18B20接觸不好或斷線,當(dāng)程序讀該DS18B20時,將沒有返回信號,程序進(jìn)入死循環(huán)。<

86、;/p><p>  3.6實時時鐘接口電路設(shè)計</p><p>  為了方便乘客出行掌握準(zhǔn)確的時間,車載系統(tǒng)帶有實時時鐘顯示功能,實時顯示日期和時間。而實時時鐘芯片有很多種,比如飛利浦公司的PCF8563等等。</p><p>  在此我們選擇美國DALLAS公司的自帶鋰電的DS12887時鐘芯片。</p><p>  3.6.1實時時鐘DS12

87、887的特點(diǎn)和功能</p><p>  DS12887時鐘芯片的主要特點(diǎn):</p><p>  可作為IBM AT 計算機(jī)的時鐘和日歷</p><p>  與MC146818B和DS1287的管腳兼容</p><p>  在沒有外部電源的情況下可工作10年以上,不丟失數(shù)據(jù)</p><p>  自帶晶體振蕩器及鋰電池&l

88、t;/p><p>  可計算到2100年前的秒、分、小時、星期、日、月、年7 種日歷信息,并有閏年補(bǔ)償功能</p><p>  用二進(jìn)制碼或BCD 碼代表日歷和鬧鐘信息</p><p>  有12和24小時兩種制式,12小時制式帶有PM 和AM 提示</p><p><b>  可選用夏令時模式</b></p>

89、<p>  可以應(yīng)用于MOTOROLA和INTER兩種總線</p><p><b>  數(shù)據(jù)/地址總線復(fù)用</b></p><p>  內(nèi)建128字節(jié)RAM單元與軟件接口,其中14 字節(jié)為時鐘單元和控制H 狀態(tài)寄存器,114字節(jié)為通用RAM,可由用戶使用,所有RAM 單元數(shù)據(jù)都具有掉電保護(hù)功能( 非易失性RAM);</p><p>

90、  可編程方波輸出;中斷信號輸出IRQ 和總線兼容,定鬧中斷、周期性中斷、時鐘更新周期結(jié)束中斷可分別由軟件屏蔽,也可分別進(jìn)行置位測試。</p><p>  總線兼容中斷(/IRQ)</p><p><b>  三種可編程中斷</b></p><p>  -時間性中斷 可產(chǎn)生每秒一次直到每天一次中斷</p><p>  -

91、周期性中斷122ms到500ms</p><p><b>  -時鐘更新結(jié)束中斷</b></p><p>  3.6.2 DS12887 時鐘芯片的結(jié)構(gòu)</p><p>  DS12887時鐘芯片內(nèi)部由振蕩電路、分頻電路、周期中斷/方波選擇電路、14 字節(jié)時鐘和控制單元、114 字節(jié)用戶非易失RAM、十進(jìn)制/二進(jìn)制計數(shù)器、總線接口電路、電源開關(guān)

92、寫保護(hù)單元和內(nèi)部鋰電池等部分組成,DS12887芯片的內(nèi)部結(jié)構(gòu)框圖如圖所示。</p><p>  圖3.9 DS12887內(nèi)部結(jié)構(gòu)框圖</p><p>  DS12887時鐘芯片的引腳排列如圖所示。</p><p>  圖3.10 DS12887的引腳排列</p><p>  DS12887時鐘芯片的引腳功能</p><p

93、>  AD0-AD7 為地址/數(shù)據(jù)復(fù)用總線;</p><p><b>  NC為空腳;</b></p><p>  MOT為總線模式選擇(Motorola/Intel),當(dāng)此接到Vcc 時,選用的是MOTOROLA 總線時序,當(dāng)它地或不接時,選用的是INTER總線時序;</p><p>  CS(片選輸入)-CS</p>&

94、lt;p>  當(dāng)Vcc低于4.25V時,DS12887從內(nèi)部禁止對外部CS的操作。此時,時鐘和RAM都被保護(hù)起來。</p><p>  AS(地址鎖存)-ALE </p><p>  R/W(Read/Write Input)-WR </p><p>  DS(Data Strobe or Read Input)-RD</p><p>

95、  當(dāng)系統(tǒng)總線選擇的是INTEL總線模式時,DS被稱作RD。當(dāng)它有效時表示DS12887正在往總線輸出數(shù)據(jù)。RD信號線在存儲器芯片上被稱作OE信號線。</p><p>  RESET為復(fù)位端,復(fù)位端對時鐘、日歷、RAM無效,系統(tǒng)上電時復(fù)位端要保持低電平200ms 以上DS12887才可以正常工作;當(dāng)復(fù)位腳為低并且Vcc高于4.25V時,以下情況發(fā)生:</p><p>  周期中斷允許(PI

96、E)位清0</p><p>  鬧鐘中斷允許(AIE)位清0</p><p>  更新完成中斷標(biāo)志(UF)位清0</p><p>  中斷請求狀態(tài)標(biāo)志(IRQF)位清0</p><p>  周期中斷標(biāo)志(PF)位清0</p><p>  當(dāng)RESET為低時DS12887不可操作</p><p>

97、  鬧鐘中斷標(biāo)志(AF)位清0</p><p><b>  IRQ呈高阻狀態(tài)</b></p><p>  方波輸出允許(SQWE)位清 0</p><p>  更新完成中斷允許(UIE)位清0</p><p>  IRQ (中斷請求輸出)-</p><p>  當(dāng)中斷狀態(tài)位和對應(yīng)的中斷允許位有效時

98、,IRQ的輸出保持為低。復(fù)位和讀C寄存器都可以清除IRQ中斷信號。沒有中斷時,IRQ呈高阻狀態(tài),其它中斷源還可以掛接到中斷總線上。由于IRQ是漏極輸出,所以需要外接上拉電阻。</p><p>  SQW為方波輸出端,當(dāng)Vcc低于4.25v 時沒有作用; Vcc 為+5v電源;</p><p><b>  GND 為接地端。</b></p><p&g

99、t;  3.6.3 DS12887和單片機(jī)的接口電路</p><p>  圖3.11 DS12887芯片與AT89C51單片機(jī)的接口電路</p><p>  本設(shè)計中模式選擇腳MOT拉地,選擇INTEL時序,選擇DS12887時鐘芯片的地址總線及 AS端口和89C52單片微機(jī)的P27及ALE端直接相聯(lián);而DS、R/W讀寫控制線與單片機(jī)的RD、WR控制線相連;DS12887的中斷輸出端IRQ

100、和89C52的外部INT0端相聯(lián),給單片機(jī)提供中斷信號。 </p><p>  3.7語音接口電路設(shè)計</p><p>  目前我國部分城市采用手動電子報站和人工報站的方式,而它們都離不開司務(wù)人員,加大司乘人員的工作強(qiáng)度。手動電子報站一般由司機(jī)或者乘務(wù)員控制,經(jīng)常出現(xiàn)錯報,誤報的情況,而由于各地方言的不同,給異地乘客帶來不少麻煩。</p><p>  基于上述情況的

101、不足,根據(jù)實際錄放時間需求,采用美國ISD公司的ISD2560芯片。</p><p>  3.7.1 ISD2560的功能特點(diǎn)</p><p>  ISD語音芯片是美國信息存儲器件公司推出的高品質(zhì)語音錄放芯片,采用ISD公司獨(dú)有的直接模擬存儲技術(shù)(DAST),該技術(shù)的采用使得音頻信息無需經(jīng)過傳統(tǒng)形式的(A/D、D/A)轉(zhuǎn)換即可實現(xiàn)存取,有比同等數(shù)字存取更高的集成度和更高的音質(zhì)水平。ISD語

102、音芯片具有抗斷電功能,信息存放不易揮發(fā),支持多次錄/放操作,壽命高達(dá)10次,可單獨(dú)應(yīng)用、多片級聯(lián),也可與微處理器配合使用,具有很好的使用靈活性。ISD可廣泛應(yīng)用于工業(yè)控制、智能儀表、警報、信息提示等場合,使信息交互人性化。</p><p>  3.7.2 ISD2560的內(nèi)部結(jié)構(gòu)</p><p>  圖3.12 ISD2560內(nèi)部原理框圖 </p><p>  圖3

103、.13 ISD2560管腳分布圖</p><p>  表3.1 DIP封裝圖及各引線端功能 </p><p><b>  芯片操作模式:</b></p><p>  由于ISD2560內(nèi)置了若干種操作模式,因而可用最少的外圍器件實現(xiàn)最多的功能。操作模式也由地址端控制;當(dāng)最高兩位都為1時,其它地址端置高可選擇某個(或某幾個)特定模式。因此操作模式

104、和直接尋址相互排斥。具體操作模式見圖3.14所列。操作模式可由微控制器也可由硬件實現(xiàn)。</p><p>  使用操作模式要注意兩點(diǎn):</p><p>  (1)所有操作最初都是從0地址(即存儲空間的起始端開始后續(xù)的操作根據(jù)選用的模式可從其它地址開始。但是,電路由錄轉(zhuǎn)放或由放轉(zhuǎn)錄(M6模式除外),或都執(zhí)行了掉電周期后,地址計數(shù)器將復(fù)位為0。</p><p>  (2)

105、當(dāng)CE變低且最高兩地址位同為高時,執(zhí)行操作模式。這種操作模式將一直有效,直到CE再次由高變低,芯片重新鎖存當(dāng)前的地址/模式端電平并執(zhí)行相應(yīng)的操作為止。當(dāng)最高位地址(MSB)A8、A9都為高電平時,地址端就作為工作模式選擇端(高電平有效)</p><p><b>  表3.2 工作模式</b></p><p>  3.7.3 ISD2560和單片機(jī)的接口設(shè)計</p

106、><p>  圖3.14 單片機(jī)89C51和ISD2560的接口電路</p><p>  1 腳~10腳(A0-A9)為地址輸入/模式控制,與單片機(jī)的地址端口連接,共有1024種組合狀態(tài),通過對A8, A9的設(shè)置單片機(jī)可以控制語音芯片的工作方式;11 腳(AUX IN)為輔助輸入;12腳、13腳(VSSA, VSSD)分別為模擬和數(shù)字地;14 腳、15 腳(SP+,SP-)分別為喇叭輸出的正負(fù)

107、端;16 腳、28腳(VCCA,VCCD)分別為模擬和數(shù)字部分的供電電源;17腳(MIC)為話筒輸入端;18 腳(MIC REF)為話筒輸入?yún)⒖级耍?9 腳(AGC)為自動增益控制端;20腳、21 腳(ANA in、ANA out)分別為模擬輸入輸出;22 腳(OVF)為溢出標(biāo)志端;23腳(CE)為片選端;24 腳(PD)為節(jié)電控制端;25 腳(EOM)為信息結(jié)尾標(biāo)志;26腳(XCLK)為外部時鐘輸入;27 腳(P/R)為放音、錄音控制

108、。</p><p>  圖3.15 ISD2560放音時序</p><p>  本設(shè)計系統(tǒng)中,語音單元語音部分是事先分段錄制好的,應(yīng)用的時候直接調(diào)用需要的語音段即可。這里簡單介紹一下其放音的實現(xiàn)。</p><p>  由圖3.15放音時序可以看出,P/R一直接在高電平,處于放音狀態(tài)。CE接到WR,當(dāng)給CE一個低電平脈沖時,只要PD沒有被觸發(fā)復(fù)位,系統(tǒng)就開始讀取A0

109、~A9被選中的地址段,并從此段開始放音。例如:當(dāng)前地址輸入為0F800,系統(tǒng)即從0地址開始放音,直到遇到EOM標(biāo)志變低,放音結(jié)束。</p><p>  3.8液晶顯示電路設(shè)計</p><p>  車輛內(nèi)部通過LCD字符顯示車廂內(nèi)部實時溫度,時間和日期,更方便乘客出行。</p><p>  在此選擇內(nèi)置8192個16乘16點(diǎn)漢字庫和128個16乘8點(diǎn)ASCII型字符集

110、圖形點(diǎn)陣液晶顯示器RT12232F。</p><p>  3.8.1液晶顯示功能概述</p><p>  12232F是一種內(nèi)置8192個16乘16點(diǎn)漢字庫和128個16乘8點(diǎn)ASCII型字符集圖形點(diǎn)陣液晶顯示器,它主要由行驅(qū)動器/列驅(qū)動器及128乘32全點(diǎn)陣液晶顯示器組成??赏瓿蓤D形顯示,也可以顯示7.5乘2個(16乘16點(diǎn)陣)漢字。與外部CPU接口采用并行或串行方式控制。在本系統(tǒng)中,設(shè)

111、置兩種方式訪問的接口電路。根據(jù)用戶的要求選擇訪問方式。</p><p>  主要的技術(shù)參數(shù)和性能:</p><p>  電源:VDD:+3.0~+505v。(電源低于4.0伏LED背光需另外供電)</p><p>  顯示內(nèi)容:122(列)乘32(行)點(diǎn)。</p><p><b>  全屏幕點(diǎn)陣</b></p>

112、;<p>  2M ROM(CGROM)總共提供8192個漢字(16乘16點(diǎn)陣)</p><p>  16K ROM (HCGROM)總共提供128個字符(16乘8點(diǎn)陣)</p><p><b>  2MHZ頻率</b></p><p>  工作溫度:0~+60 存儲溫度:-10~+70</p><p> 

113、 3.8.2液晶顯示的外形尺寸圖</p><p>  圖 3.16外形尺寸圖</p><p>  3.8.3液晶顯示的接口電路</p><p>  圖3.17 液晶顯示接口電路</p><p>  表3.3 外部接口信號</p><p><b>  3.9存儲電路 </b></p>

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論