2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩37頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、<p><b>  目錄</b></p><p>  摘要……………………………………………………………………2</p><p>  引言……………………………………………………………………4</p><p>  第一章 低頻信號發(fā)生器概述………………………………………5</p><p>  1.1系統(tǒng)功能要

2、求.........................................5</p><p>  1.2 方案論證…………………………………………………………5</p><p>  第二章 系統(tǒng)硬件電路設(shè)計…………………………………………8</p><p>  2.1系統(tǒng)總體方框圖…………………………………………………8</p><p

3、>  2.2硬件電路設(shè)計……………………………………………………8</p><p>  2.3器件介紹…………………………………………………………11</p><p>  第三章 系統(tǒng)軟件設(shè)計………………………………………………17</p><p>  3.1編程原理……………………………………………………………17</p><p>

4、  3.1.1主程序編程思想…………………………………………………17</p><p>  3.1.2正弦波程序編程原理………………………………………………17</p><p>  3.1.3鋸齒波程序編程原理………………………………………………18</p><p>  3.14三角波程序編程原理………………………………………………19</p><

5、;p>  3.15判別按鍵輸入子程序編程原理……………………………………19</p><p>  3.2主控程序………………………………………………………………19</p><p>  3.3程序流程…………………………………………………………………28</p><p>  第四章 系統(tǒng)調(diào)試…………………………………………………………34</p>

6、<p>  4.1硬件系統(tǒng)調(diào)試……………………………………………………………34</p><p>  4.1.1 AT89S51單片機(jī)調(diào)試………………………………………………34</p><p>  4.1.2 波形輸出及放大電路調(diào)試…………………………………………34</p><p>  4.1.3 電路板的制作…………………………………………

7、……………35</p><p>  4.2軟件系統(tǒng)調(diào)試……………………………………………………………36</p><p>  結(jié)束語………………………………………………………………………37</p><p>  參考文獻(xiàn)……………………………………………………………………37</p><p>  附錄I 信號發(fā)生器原理……………………………

8、……………………38</p><p>  附錄II 信號發(fā)生器PCB圖………………………………………………39</p><p>  數(shù)字式低頻信號發(fā)生器設(shè)計與制作 </p><p><b>  摘要</b></p><p>  本次主要介紹8位的單片機(jī)AT89S51和DAC0832組成一個簡單的實時控制系統(tǒng)----低頻信

9、號發(fā)生器。它主要是以AT89S51做為控制核心,通過編寫應(yīng)用程序來識別按鍵,并根據(jù)相應(yīng)的按鍵來產(chǎn)生各種波形。用戶可以通過按鍵選擇實驗中常常使用的波形:正弦波、三角波、鋸齒波。系統(tǒng)根據(jù)DAC0382及其外圍電路產(chǎn)生設(shè)計中需要的低頻信號,輸出信號在示波器上顯示出來。本系統(tǒng)可輸出10HZ-1KHZ波形信號。若是以單極性輸出,輸出電壓Vpp=5V;若是以雙極性輸出,輸出電壓Vpp=10V。若深入應(yīng)用,可考慮頻率和幅度的自動步進(jìn)或由按鍵步進(jìn)。&l

10、t;/p><p>  關(guān)鍵詞 單片機(jī)AT89S51,數(shù)模轉(zhuǎn)換器D/A0832,信號發(fā)生器</p><p>  Devise and facture of low frequency signal generator based on single chip</p><p><b>  Abstract</b></p><p&g

11、t;  This text introduces a low frequency signal generator which is based on single chip microcomputer AT89S51.By the small keyboards,uers can choose the basic waveforms which are often used in the laboratory,such as sine

12、 wave,square wave and triangle wave.When the single chip microcomputer AT89S51 reseives the control signal from the small keyboards,theIC DAC0832 well get the signal from the chip ,so as to control the choise of signal w

13、aveforms and the output frequency.System recur DAC0832 and its p</p><p>  This system can output the waveforms whose frequency is from 10Hz to 20kHz. The signal generator contents the demand of general exper

14、iments very well.</p><p>  Key words:Low frequency signal generator; AT89S51 signal chip;DAC0832 ; Sine wave;Square wave;Triangle wave</p><p><b>  引言</b></p><p>  在現(xiàn)代電子學(xué)

15、的各個領(lǐng)域,常常需要高精度且頻率可方便調(diào)節(jié)的信號發(fā)生器,它是實驗室的基本設(shè)備之一,在電路實驗和設(shè)備檢測中也具有十分廣泛的用途。而目前廣泛使用的一些標(biāo)準(zhǔn)產(chǎn)品,雖然功能齊全、性能指標(biāo)高,但是其價格也相對較高,而且對于我們學(xué)生,很多功能是用不上的,造成的缺點是它只能是實驗室所有,學(xué)生買不起,它的功能強(qiáng)大,但造成了浪費,它體積龐大,不便于攜帶,不能隨時隨地做實驗。 根據(jù)實際需要,我們不需要太高的頻率,也不需要所有的波形,我們實驗室中多用的是低中

16、頻率信號發(fā)生器,波形最常用的是正弦波形,三角波形,鋸齒波形,為此,我們有必要設(shè)計出一種結(jié)構(gòu)簡單、成本低、便于攜帶的函數(shù)信號發(fā)生器,便于學(xué)生使用。</p><p><b>  設(shè)計任務(wù):</b></p><p>  1:比較和闡述實現(xiàn)信號發(fā)生器各種方案的特點。</p><p>  2:采用單片機(jī)AT89S51及D/A轉(zhuǎn)換器0832設(shè)計信號發(fā)生器&

17、lt;/p><p>  3:編寫程序產(chǎn)生鋸齒波、三角波、正弦波等波形</p><p>  4:輸出頻率范圍:10HZ~20KHZ,輸出電壓V=5V;</p><p>  5:外接電源線,公共地線,電源需加濾波電路。</p><p>  第一章 低頻信號發(fā)生器的概述</p><p>  本章將要對低頻信號發(fā)生器的功能要求及

18、采用的方案進(jìn)行論證。</p><p><b>  1.1系統(tǒng)功能要求</b></p><p>  本系統(tǒng)的功能是利用主要主控單片機(jī)AT89S51通過軟、硬件結(jié)合,控制多波形寬頻率范圍信號發(fā)生器產(chǎn)生正弦波、三角波、鋸齒波等低頻信號,頻率輸出范圍在10HZ-20kHZ之間,輸出的波形信號通過示波器顯示出來。</p><p><b>  1

19、.2方案論證</b></p><p>  總結(jié)函數(shù)信號發(fā)生器的實現(xiàn)方法,通常有一下幾種:</p><p>  (1)用分立元件組成的函數(shù)發(fā)生器:通常是單波函數(shù)發(fā)生器,而且不太穩(wěn)定,也不易調(diào)試。</p><p> ?。?)利用晶體管、運放等通用器件設(shè)計制作,更多的是用專門發(fā)生器ic制作,如L8038/BA205/XR2207等,他們的功能較少,精度不高,頻

20、率上限制在300kHZ,調(diào)節(jié)方式也不夠靈活,頻率和占空比不能獨立調(diào)節(jié),二者相互影響。</p><p> ?。?)利用專門直接數(shù)字合成DDS芯片的函數(shù)發(fā)生器,能產(chǎn)生任意頻率并且達(dá)到很高的頻率。但成本很高。</p><p>  基于本設(shè)計所用頻率不高,主要用于學(xué)生實際所需,故采用單片機(jī)AT89S51與DAC8032組成電路,軟、硬件結(jié)合,產(chǎn)生所需波形。</p><p>

21、  單片微型計算機(jī)簡稱為單片機(jī),它是把組成微型計算機(jī)的各功能部件:中央處理器CPU、隨機(jī)存取存貯器RAM、只讀存貯器ROM、I/O接口電路,定時器/計數(shù)器以及串行通訊接口等部件制作在一塊集成電路芯片中.構(gòu)成一個完整的微型計算機(jī)。這樣的集成電路稱得起超大規(guī)模集成電路。因此可以說,單片微型計算機(jī)與大規(guī)模集成電路和超大規(guī)模集成電路的發(fā)展井駕齊驅(qū)。</p><p>  由于在單片機(jī)中設(shè)置有只讀存貯器,可用來存放控制單片機(jī)

22、及外圍設(shè)備進(jìn)行工作的程序.于是從外部來看單片機(jī)成為一個獨立的控制裝置,可廣泛用于實時控制、數(shù)據(jù)采集與處理等方而,因此單片機(jī)又稱為微控制器。目前市場上主流的單片機(jī)均是MCS-51內(nèi)核系列兼容的單片機(jī)(比如目前流行的89S51、已經(jīng)停產(chǎn)的89C51等)。本設(shè)計課題采用的就是ATMEL的AT89S51。單片機(jī)基本框圖如下:</p><p>  由單片機(jī)控制的波形發(fā)生器主要是將所需波形的數(shù)據(jù)制成相應(yīng)的表格,然后根據(jù)查表的

23、方法,由CPU控制D/A轉(zhuǎn)換器,及通過按鍵控制輸出波形的類型,經(jīng)D/A轉(zhuǎn)換后輸出所需的電壓波形。頻率的高低也可以通過按鍵來控制,主要是改變延時時間來實現(xiàn)。其輸出頻率范圍會受到CPU時鐘周期及DAC器件性能的影響。</p><p>  硬件設(shè)計電路圖見附錄。單片機(jī)系統(tǒng)采取的是上電自檢,上電后系統(tǒng)復(fù)位,P0~P3均為高電平。程序就等待按鍵按下。當(dāng)有產(chǎn)生波形的按鍵按下后,P1口相應(yīng)的管腳會變?yōu)榈碗娖?,根?jù)具體的某一位,

24、轉(zhuǎn)向相應(yīng)的功能程序,由單片機(jī)向DAC0832輸出所需波形的數(shù)據(jù),產(chǎn)生三角波,鋸齒波或正弦波。輸出波形的過程中會查鍵,看是否有頻率改變鍵按下,若有,則調(diào)延時子程序,來改變波形的頻率。</p><p>  在本設(shè)計方案中,只有正弦波是通過查表來輸出的,鋸齒波和三角波是由寄存器的數(shù)值自增,或自減來輸出。整個方案中硬件部分是比較簡單的,主要是單片機(jī)和DAC0832的連接,其它的只是外部電源和按鍵。需要注意的是外加電源需加

25、濾波電路,即要保證外加直流電源的穩(wěn)定。因為DAC0832的基準(zhǔn)電壓端Vref是接到外加電源+5V的,如果引入的基準(zhǔn)電壓不準(zhǔn),則結(jié)果輸出的波形也會有相應(yīng)的失真。設(shè)計中具體是通過一個大電容和一個小電容的簡單濾波電路來實現(xiàn)的。</p><p>  D/A轉(zhuǎn)換后的信號由Iout1、Iout2輸出,分別接到運放的負(fù)端和正端,若需要雙極性輸出,則多接個運放。檢查結(jié)果時則用示波器觀察。設(shè)計完成后,按鍵變化引起的波形變化在示波器

26、上應(yīng)會得到明顯的顯示。</p><p>  第二章 系統(tǒng)硬件電路設(shè)計</p><p>  本系統(tǒng)采用模塊化的設(shè)計方法。其中,單片機(jī)AT89S51是整個電路的中央控制器,他控制其它模塊完成各自的操作。DAC8032也是本電路的主要器件。外圍電路包括頻率檔次選擇電路、波形提取電路、放大電路,整流電路、濾波電路等。</p><p>  2.1系統(tǒng)整體方框圖</p

27、><p>  圖1.1為單片機(jī)信號發(fā)生器系統(tǒng)組成框圖,它由波形選擇按鈕、單片機(jī)AT89S51、D/A轉(zhuǎn)換器及輸出控制器等部分組成。其中,單片機(jī)、D/A轉(zhuǎn)換器是信號發(fā)生器系統(tǒng)中不可或缺的重要組成部分,其他部分則可以視系統(tǒng)的需要而配置。 </p><p>  圖2.1單片機(jī)信號發(fā)生器系統(tǒng)組成框圖</p><p>  2.2主要電路的設(shè)計</p><p&g

28、t;  波形選擇按鈕是各波形的選擇控制按鈕,與單片機(jī)的P1口相連。單片機(jī)的主要任務(wù)是通過編程,并通過波形的選擇控制輸出各種波形,在經(jīng)D/A轉(zhuǎn)換器轉(zhuǎn)換后,通過示波器觀察各種波形。</p><p><b>  硬件設(shè)計</b></p><p>  單片機(jī)的時鐘源和復(fù)位電路的設(shè)計:</p><p>  電容C1、C2和晶振(12MHZ)組成89S51

29、的外部源電路,將C1、C2和晶振組成的回路稱為LC并聯(lián)諧振回路,晶振起電感作用,諧振頻率由晶振的頻率所決定,89S51單片機(jī)的晶振可以選1.2MHZ——12MHZ。電容C1、C2的取值一般在20PF——100PF之間(在60PF——70PF時,頻率比較穩(wěn)定)。</p><p>  圖2.2單片機(jī)的時鐘源</p><p>  復(fù)位電路由R1、R2、C9(極性)和開關(guān)組成,采用按鈕電平復(fù)位方式

30、,它是將復(fù)位端通過電阻與VCC相接。</p><p>  復(fù)位電路參數(shù)的選擇,應(yīng)保證復(fù)位高電平持續(xù)時間大于2 個機(jī)器周期,就能可靠地使單片機(jī)復(fù)位,當(dāng)晶振為6MHZ時,取C9=22UF、R1=22歐和R2=1K歐。</p><p><b>  圖2.3復(fù)位電路</b></p><p>  數(shù)據(jù)的輸出由單片機(jī)的P0口接到DAC的數(shù)據(jù)線,DAC的片選

31、段CS接到P2.7,地址可設(shè)為7FFFH。DAC的WR1接到單片機(jī)的P3.6/WR,這樣再向0832寫命令時,0832的WR1端為低電平,寄存器直通,示波器就會顯示相應(yīng)的波形。</p><p>  圖2.4單片機(jī)對DAC0832控制電路</p><p>  波形放大電路部分,采用了LM324作為電壓放大器,負(fù)載可以得到不失真的電壓信號。</p><p>  圖2.5

32、波形放大電路</p><p><b>  2.3器件簡介:</b></p><p>  1:AT89S51 </p><p>  本設(shè)計方案中所用到的單片機(jī)AT89S51。用到89S51,首先應(yīng)了解51系列的區(qū)別:</p><p>  MCS-51單片機(jī)是美國INTE公司于1980年推出的產(chǎn)品,典型產(chǎn)品有&

33、#160;8031(內(nèi)部沒有程序存儲器,實際使用方面已經(jīng)被市場淘汰)、8051(芯片采用HMOS,功耗是630mW,是89C51的5倍,實際使用方面已經(jīng)被市場淘汰)和8751等通用產(chǎn)品,一直到現(xiàn)在, MCS-51內(nèi)核系列兼容的單片機(jī)仍是應(yīng)用的主流產(chǎn)品(比如目前流行的89S51、已經(jīng)停產(chǎn)的89C51等),但在各高校及專業(yè)學(xué)校的培訓(xùn)教材仍以MCS-51單片機(jī)作為代表進(jìn)行理論基礎(chǔ)學(xué)習(xí)。有些文獻(xiàn)甚至也將8051泛指MCS-51系列單

34、片機(jī),8051是早期的最典型的代表作,由于MCS-51單片機(jī)影響極深遠(yuǎn),許多公司都推出了兼容系列單片機(jī),就是說MCS-51內(nèi)核實際上已經(jīng)成為一個8位單片機(jī)的標(biāo)準(zhǔn)。其他的公司的51單片機(jī)產(chǎn)品都是和MCS-51內(nèi)核兼容的產(chǎn)品而以。同樣的一段程序,在各個單片機(jī)廠家的硬件上運行的結(jié)果都是一樣的,如ATMEL的89C51(已經(jīng)停產(chǎn))、89S51, PHILIPS(菲利浦),和WINBOND(華邦)等,我們常說的已經(jīng)停產(chǎn)的89C51指的

35、是ATMEL公司的 AT89C51單片機(jī),同時是在原基礎(chǔ)上增強(qiáng)了許多特性,如時</p><p>  89S51相對于89C51增加的新功能包括: -- 新增加很多功能,性能有了較大提升,價格基本不變,甚至比 </p><p>  89C51更低! -- ISP在線編程功能,這個功能的優(yōu)勢在于改寫單片機(jī)存儲器內(nèi)的 </p>

36、;<p>  程序不需要把芯片從 工作環(huán)境中剝離。是一個強(qiáng)大易用的功能。 </p><p>  -- 最高工作頻率為33MHz,大家都知道89C51的極限工作頻率是24M,就是說S51具有更高工作頻率,從而具有了更快的計算速度。 </p><p>  -- 具有雙工UART串行通道。 </p><p>

37、  -- 內(nèi)部集成看門狗計時器,不再需要像89C51那樣外接看門狗計時器單元電路。 </p><p>  -- 雙數(shù)據(jù)指示器。</p><p>  -- 電源關(guān)閉標(biāo)識。 </p><p>  -- 全新的加密算法,這使得對于89S51的解密變?yōu)椴豢赡?,程序?lt;/p><p>  密性

38、大大加強(qiáng),這樣就可以有效的保護(hù)知識產(chǎn)權(quán)不被侵犯。 </p><p>  -- 兼容性方面:向下完全兼容51全部字系列產(chǎn)品。比如8051、89C51</p><p>  等等早期MCS-51兼容產(chǎn)品。也就是說所有教科書、網(wǎng)絡(luò)教程上</p><p>  的程序(不論教科書上采用的單片機(jī)是8051還是89C51還是</p><p&g

39、t;  MCS-51等等),在89S51上一樣可以照常運行,這就是所謂的</p><p><b>  向下兼容。 </b></p><p>  比較可知:從AT89C51升級到AT89S51 , 速度改變很多。和S51比起來,C51就要遜色一些,實際應(yīng)用市場方面技術(shù)的進(jìn)步是永遠(yuǎn)向前的。</p><p>  AT89S51具有

40、如下特點:40個引腳,4k Bytes Flash片內(nèi)程序存儲器,128 bytes的隨機(jī)存取數(shù)據(jù)存儲器(RAM),32個外部雙向輸入/輸出(I/O)口,5個中斷優(yōu)先級2層中斷嵌套中斷,2個16位可編程定時計數(shù)器,2個全雙工串行通信口,看門狗(WDT)電路,片內(nèi)時鐘振蕩器。</p><p>  此外,AT89S51設(shè)計和配置了振蕩頻率可為0Hz并可通過軟件設(shè)置省電模式??臻e模式下,CPU暫停工作,而RAM定時計數(shù)

41、器,串行口,外中斷系統(tǒng)可繼續(xù)工作,掉電模式凍結(jié)振蕩器而保存RAM的數(shù)據(jù),停止芯片其它功能直至外中斷激活或硬件復(fù)位。同時該芯片還具有PDIP、TQFP和PLCC等三種封裝形式。本設(shè)計方案中使用的DIP雙列直插封裝。</p><p>  AT89S51引腳圖如下:</p><p><b>  2:DAC0832</b></p><p>  DAC0

42、832是雙列直插式8位D/A轉(zhuǎn)換器。能完成數(shù)字量輸入到模擬量(電流)輸出的轉(zhuǎn)換。圖1-2和圖1-3分別為DAC0832的引腳圖和內(nèi)部結(jié)構(gòu)圖。其主要參數(shù)如下:分辨率為8位,轉(zhuǎn)換時間為1μs,滿量程誤差為±1LSB,參考電壓為(+10~-10)V,供電電源為(+5~+15)V,邏輯電平輸入與TTL兼容。從圖1-3中可見,在DAC0832中有兩級鎖存器,第一級鎖存器稱為輸入寄存器,它的允許鎖存信號為ILE,第二級鎖存器稱為DAC寄存

43、器,它的鎖存信號也稱為通道控制信號 /XFER。</p><p>  圖1-2中,當(dāng)ILE為高電平,片選信號 /CS 和寫信號 /WR1為低電平時,輸入寄存器控制信號為1,這種情況下,輸入寄存器的輸出隨輸入而變化。此后,當(dāng) /WR1由低電平變高時,控制信號成為低電平,此時,數(shù)據(jù)被鎖存到輸入寄存器中,這樣輸入寄存器的輸出端不再隨外部數(shù)據(jù)DB的變化而變化。</p><p>  對第二級鎖存來說

44、,傳送控制信號 /XFER 和寫信號 /WR2同時為低電平時,二級鎖存控制信號為高電平,8位的DAC寄存器的輸出隨輸入而變化,此后,當(dāng) /WR2由低電平變高時,控制信號變?yōu)榈碗娖?,于是將輸入寄存器的信息鎖存到DAC寄存器中。</p><p>  圖中其余各引腳的功能定義如下:</p><p>  (1)DI7~DI0 :8位的數(shù)據(jù)輸入端,DI7為最高位。</p><p&

45、gt;  (2)IOUT1 :模擬電流輸出端1,當(dāng)DAC寄存器中數(shù)據(jù)全為1時,輸出電流最大,當(dāng) DAC寄存器中數(shù)據(jù)全為0時,輸出電流為0。</p><p>  (3)IOUT2 :模擬電流輸出端2, IOUT2與IOUT1的和為一個常數(shù),即IOUT1+I(xiàn)OUT2=常數(shù)。</p><p>  (4)RFB :反饋電阻引出端,DAC0832內(nèi)部已經(jīng)有反饋電阻,所以 RFB端可以直接接到外部運算

46、放大器的輸出端,這樣相當(dāng)于將一個反饋電阻接在運算放大器的輸出端和輸入端之間。</p><p>  (5)VREF :參考電壓輸入端,此端可接一個正電壓,也可接一個負(fù)電壓,它決定0至255的數(shù)字量轉(zhuǎn)化出來的模擬量電壓值的幅度,VREF范圍為(+10~-10)V。VREF端與D/A內(nèi)部T形電阻網(wǎng)絡(luò)相連。</p><p>  (6)Vcc :芯片供電電壓,范圍為(+5~ 15)V。</p&

47、gt;<p>  (7)AGND :模擬量地,即模擬電路接地端。</p><p>  (8)DGND :數(shù)字量地。</p><p>  DAC0832內(nèi)部結(jié)構(gòu)圖</p><p>  DAC0832可處于三種不同的工作方式:</p><p>  直通方式:當(dāng)ILE接高電平,、、和都接數(shù)字地時,DAC處于直通方式,8位數(shù)字量一旦到達(dá)

48、DI7~DI0輸入端,就立即加到8位D/A轉(zhuǎn)換器,被轉(zhuǎn)換成模擬量。。</p><p>  單緩沖方式 :此方式也是本設(shè)計方案所采用的輸出方式。只要把兩個寄存器中的任何一個接成直通方式,而用另一個鎖存器數(shù)據(jù),DAC就可處于單緩沖工作方式。一般的做法是將和都接地,使DAC寄存器處于直通方式,另外把ILE接高電平,接端口地址譯碼信號,接CPU的信號,這樣就可以通過一條MOVX指令,選中該端口,使和有效,啟動D/A轉(zhuǎn)換。

49、</p><p>  雙緩沖方式 :主要在以下兩種情況下需要用雙緩沖方式的D/A轉(zhuǎn)換。</p><p>  需在程序的控制下,先把轉(zhuǎn)換的數(shù)據(jù)輸入輸入緩存器,然后在某個時刻再啟動D/A轉(zhuǎn)換。這樣,可先選中端口,把數(shù)據(jù)寫入輸入寄存器;再選中端口,把輸入寄存器內(nèi)容寫入DAC寄存器,實現(xiàn)D/A轉(zhuǎn)換。</p><p>  在需要同步進(jìn)行D/A轉(zhuǎn)換的多路DAC系統(tǒng)中,采用雙緩沖

50、方式,可以在不同的時刻把要轉(zhuǎn)換的數(shù)據(jù)打入各DAC的輸入寄存器,然后由一個轉(zhuǎn)換命令同時啟動多個DAC轉(zhuǎn)換。先用3條輸出指令選擇3個端口,分別將數(shù)據(jù)寫入各DAC的輸入寄存器,當(dāng)數(shù)據(jù)準(zhǔn)備就緒后,再執(zhí)行一次寫操作,使變低同時選通3個D/A的DAC寄存器,實現(xiàn)同步轉(zhuǎn)換。</p><p><b>  3:LM324</b></p><p>  LM324是四運放集成電路,它采用1

51、4腳雙列直插塑料封裝,外形如圖所示。它的內(nèi)部包含四組形式完全相同的運算放大器,除電源共用外,四組運放相互獨立。</p><p>  一組運算放大器可用圖1所示的符號來表示,它有5個引出腳,其中“+”、“-”為兩個信號輸入端,“V+”、“V-”為正、負(fù)電源端,“Vo”為輸出端。兩個信號輸入端中,Vi-(-)為反相輸入端,表示運放輸出端Vo的信號與該輸入端的相位相反;Vi+(+)為同相輸入端,表示運放輸出端Vo的信號

52、與該輸入端的相位相同。LM324的引腳排列見圖1.5。</p><p>  由于LM324四運放電路具有電源電壓范圍寬,靜態(tài)功耗小,可單電源使用,價格低廉等優(yōu)點,因此被廣泛應(yīng)用在各種電路中。</p><p>  第三章 系統(tǒng)軟件設(shè)計</p><p>  本系統(tǒng)軟件設(shè)計采用模塊化設(shè)計方案,主要包括控制輸出信號程序、信號產(chǎn)生程序、改變頻率程序等。以下是簡單分析:&l

53、t;/p><p><b>  3.1 編程原理</b></p><p>  3.1.1主程序編程思想:</p><p>  在電路開始工作后,程序并不是立即輸出波形,而是先檢測有無按鍵輸入,當(dāng)有按鍵輸入時,判斷是什么鍵,然后轉(zhuǎn)到相應(yīng)的程序中執(zhí)行,輸出相應(yīng)的波形,若不是波形選擇按鍵,則輸出正弦波;當(dāng)沒有按鍵被按下時,程序處在等待中,直到有按鍵被按下。

54、請參照程序流程圖。</p><p>  3.1.2正弦波程序編程原理:</p><p>  DDS(Direct Digital Synthesis,直接數(shù)字合成)是一種應(yīng)用數(shù)字技術(shù)來實現(xiàn)產(chǎn)生信號波形的方法。DDS技術(shù)建立在采樣定理的基礎(chǔ)上,它首先對需要產(chǎn)生的信號波形進(jìn)行采樣和量化,然后存入存儲器作為待產(chǎn)生信號波形的數(shù)據(jù)表。在輸出信號波形時,從數(shù)據(jù)表中依次讀出數(shù)據(jù),產(chǎn)生數(shù)字化的信號,這個信

55、號再通過D/A轉(zhuǎn)換成所需的模擬信號波形。</p><p>  相對于其他信號波形產(chǎn)生技術(shù),DDS技術(shù)具有輸出信號的采樣頻率固定、全數(shù)字化、易于控制、可編程、輸出相位連續(xù)和信號頻率轉(zhuǎn)換時間短等優(yōu)點。</p><p>  基于DDS技術(shù),本設(shè)計的正弦波輸出采用查表的編程方法。其表格建立的具體算法為:將正弦波的一個周期等分為256份,用n表示。則一個周期內(nèi)的每個90度里有64份,用90除以64求

56、出每一份所需要度數(shù)為1.40625度,用α表示。假設(shè)正弦波的幅度值為1,我們把正弦波的峰峰值(-1到+1)對應(yīng)十六進(jìn)制數(shù)的00H - 0FFH。在第一象現(xiàn)里,正弦波的數(shù)據(jù)表應(yīng)從80H - OFFH,則每一份所對應(yīng)的十六進(jìn)制數(shù)值應(yīng)為</p><p>  h=(int(128*sin(n*α)+128))H</p><p>  int()表示取整數(shù)</p><p>&l

57、t;b>  n 從0到63</b></p><p>  α=1.40625度</p><p><b>  例如:</b></p><p> ?。?)第0份所對液壓的十六進(jìn)制數(shù)值為</p><p>  h=(int(128*sin(0*1.40625)+128))H=80H</p><

58、p> ?。?)第4份所對液壓的十六進(jìn)制數(shù)值為</p><p>  h=(int(128*sin(4*1.40625)+128))H=8DH</p><p> ?。?)第5份所對液壓的十六進(jìn)制數(shù)值為</p><p>  h=(int(128*sin(5*1.40625)+128))H=90H</p><p>  值得注意的是,當(dāng)h的值為1

59、00H時,應(yīng)該改為0FFH。由此可建立第一象現(xiàn)的正弦表。</p><p>  根據(jù)正弦波的對稱性可以推出第二、三、四象現(xiàn)里的十六進(jìn)制數(shù)值。由此可建立出產(chǎn)生正弦波的匯編程序數(shù)值表(見附錄)。</p><p>  3.1.3鋸齒波程序編程原理:</p><p>  由于鋸齒波一個周期內(nèi)是一條線性上升的直線,我們將一個周期的鋸齒波分為256個點,每個點對應(yīng)于一個周期的一個

60、幅度值,且幅度值是按固定的階梯上升的,只要將每個點的幅度值按從小到大的順序輸出,則在總體的效果上,就是一個鋸齒波。只要調(diào)整兩個點輸出的時間間隔,就可以改變波形的輸出周期,從而改變波形的頻率。請參照程序流程圖。</p><p>  3.14三角波程序編程原理:</p><p>  一個周期內(nèi)的三角波實際上是兩個對稱鋸齒波的組合,同理,我們將半個周期內(nèi)的三角波分為256個點,每個點對應(yīng)于這半個

61、周期的一個幅度值。在三角波的上升邊:幅度值是按固定的階梯上升的,只要將每個點的幅度值按從小到大的順序輸出,就可得出這半個周期的三角波;反之,在三角波的下降邊,幅度值是按固定的階梯下降的,只要將每個點的幅度值按從大到小的順序輸出,就可得出另外半個周期的三角波。由在總體的效果上,就是一個三角波。請參照程序流程圖。</p><p>  3.15判別按鍵輸入子程序編程原理:</p><p>  本

62、設(shè)計中共有五個按鍵,分別是:1號鍵~輸出正弦波;2號鍵~輸出鋸齒波;3號鍵~輸出三角波;4號鍵~停址程序復(fù)位;5號鍵~改變波形輸出頻率。五個按鍵分別與P1口的低五位相連,電路按鍵采用的是低電平輸入方式,既當(dāng)有某個鍵被按時,則其在P1口中相應(yīng)的位就變?yōu)榈碗娖?,而沒有被按的鍵則為高電平。程序中,先把P1口的值送入累加器A中,去掉沒用的高三位后,再利用循環(huán)指令將分別將累加器A的低五位移到進(jìn)位C中,檢測低五位中的那一個為低電平,則可分別用01H

63、,02H,03H,04H,05H來標(biāo)注輸入的是幾號鍵。</p><p>  為了使程序簡練,在檢測P1口的低五位時,從低位開始,每五位最后,當(dāng)檢測到某位為低電平時,既某鍵按下時,標(biāo)注該位后就不再檢測更高的位了。</p><p>  3.2主控程序流程圖</p><p>  本系統(tǒng)程序除主控流程圖外,還有正弦波流程圖、三角波流程圖、鋸齒波流程圖等,列出如下:</

64、p><p>  本系統(tǒng)主控程序流程圖如圖3.1-1所示。</p><p>  正弦波程序流程圖如圖3.1-2所示</p><p><b>  鋸齒波程序流程圖:</b></p><p><b>  三角波程序流程圖:</b></p><p>  停止復(fù)位子程序流程圖:</p

65、><p><b>  3.3程序流程清單</b></p><p>  設(shè)計過程中采用的匯編語言,程序流程如下:</p><p><b>  程序清單:</b></p><p><b>  ORG 0000H</b></p><p><b>  AJ

66、MP MAIN</b></p><p>  PINLU EQU 30H</p><p>  LEDBuf equ 60h ; 顯示緩沖</p><p>  SINTAB: DB 80H,83H,86H,89H,8DH,90H,93H,96H ;正弦表</p><p>  DB 99H,9CH,9FH,0A2

67、H,0A5H,0A8H,0ABH,0AEH</p><p>  DB 0B1H,0B4H,0B7H,0BAH,0BCH,0BFH,0C2H,0C5H</p><p>  DB 0C7H,0CAH,0CCH,0CFH,0D1H,0D4H,0D6H,0D8H</p><p>  DB 0DAH,0DDH,0DFH,0E1H,0E3H,0E5H,0E7H,0E9H<

68、/p><p>  DB 0EAH,0ECH,0EEH,0EFH,0F1H,0F2H,0F4H,0F5H</p><p>  DB 0F6H,0F7H,0F8H,0F9H,0FAH,0FBH,0FCH,0FDH</p><p>  DB 0FDH,0FEH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH</p><p>  DB 0FF

69、H,0FFH,0FFH,0FFH,0FFH,0FFH,0FEH,0FDH</p><p>  DB 0FDH,0FCH,0FBH,0FAH,0F9H,0F8H,0F7H,0F6H</p><p>  DB 0F5H,0F4H,0F2H,0F1H,0EFH,0EEH,0ECH,0EAH</p><p>  DB 0E9H,0E7H,0E5H,0E3H,0E1H,0DE

70、H,0DDH,0DAH</p><p>  DB 0D8H,0D6H,0D4H,0D1H,0CFH,0CCH,0CAH,0C7H</p><p>  DB 0C5H,0C2H,0BFH,0BCH,0BAH,0B7H,0B4H,0B1H</p><p>  DB 0AEH,0ABH,0A8H,0A5H,0A2H,9FH,9CH,99H</p><p

71、>  DB 96H,93H,90H,8DH,89H,86H,83H,80H</p><p>  DB 80H,7CH,79H,76H,72H,6FH,6CH,69H</p><p>  DB 66H,63H,60H,5DH,5AH,57H,55H,51H</p><p>  DB 4EH,4CH,48H,45H,43H,40H,3DH,3AH</p>

72、;<p>  DB 38H,35H,33H,30H,2EH,2BH,29H,27H</p><p>  DB 25H,22H,20H,1EH,1CH,1AH,18H,16H</p><p>  DB 15H,13H,11H,10H,0EH,0DH,0BH,0AH</p><p>  DB 09H,08H,07H,06H,05H,04H,03H,02H&

73、lt;/p><p>  DB 02H,01H,00H,00H,00H,00H,00H,00H</p><p>  DB 00H,00H,00H,00H,00H,00H,01H,02H</p><p>  DB 02H,03H,04H,05H,06H,07H,08H,09H</p><p>  DB 0AH,0BH,0DH,0EH,10H,11H,

74、13H,15H</p><p>  DB 16H,18H,1AH,1CH,1EH,20H,22H,25H</p><p>  DB 27H,29H,2BH,2EH,30H,33H,35H,38H</p><p>  DB 3AH,3DH,40H,43H,45H,48H,4CH,4EH</p><p>  DB 51H,55H,57H,5AH,

75、5DH,60H,63H,66H</p><p>  DB 69H,6CH,6FH,72H,76H,79H,7CH,80H</p><p>  ;/********開始*********/</p><p>  MAIN:CLR EA</p><p>  MOV PINLU,#00H ;清頻率單元 </p>

76、<p>  L1: ACALL Kexam ;有鍵入?</p><p>  JZ L1 ;無鍵入,等待</p><p>  ACALL GetKey ;讀入鍵碼</p><p>  ANL A, #0Fh </p><p>  CJNE

77、 A,#01H,NEXT1</p><p>  AJMP ZHENGXIAN</p><p>  NEXT1:CJNE A,#02H,NEXT2</p><p>  AJMP JUCHI</p><p>  NEXT2:CJNE A,#03H,L1</p><p>  AJMP SHANJIAO</p>

78、<p>  ;/*********正弦波**********/</p><p>  ZHENGXIAN: </p><p>  MOV DPTR,#7FFFH</p><p>  MOV R4,#00H</p><p>  LOOP3:PUSH DPL</p><p><b

79、>  PUSH DPH</b></p><p><b>  MOV A,R4</b></p><p>  MOV DPTR,#SINTAB</p><p>  MOVC A,@A+DPTR</p><p><b>  POP DPH</b></p><p>

80、<b>  POP DPL</b></p><p>  MOVX @DPTR,A</p><p>  MOV R5,PINLU</p><p>  CJNE R5,#05H,XJT1</p><p><b>  ACALL DLY</b></p><p>  XJT1:INC

81、 R4</p><p>  CJNE R4,#00H,LOOP3</p><p>  ACALL Kexam ; 有鍵入?</p><p>  JZ ZHENGXIAN</p><p>  ACALL GetKey ; 讀入鍵碼</p><p>  ANL A, #0Fh

82、 </p><p>  CJNE A,#02H,SIN1</p><p>  MOV PINLU,#00H</p><p>  AJMP JUCHI</p><p>  SIN1:CJNE A,#03H,SIN2</p><p>  MOV PINLU,#00H</p><p> 

83、 AJMP SHANJIAO</p><p>  SIN2:CJNE A,#05H,SIN3</p><p>  MOV PINLU,A</p><p>  AJMP ZHENGXIAN</p><p>  SIN3:CJNE A,#04H,ZHENGXIAN</p><p>  AJMP TINGZHI</p&

84、gt;<p>  ;/*********鋸齒波**********/</p><p>  JUCHI: </p><p>  MOV DPTR,#7FFFH</p><p>  MOV R4,#00H</p><p>  LOOP:MOV A,R4</p><p>  M

85、OVX @DPTR,A</p><p>  MOV R5,PINLU</p><p>  CJNE R5,#05H,XJT2 </p><p><b>  ACALL DLY</b></p><p>  XJT2:INC R4</p><p>  CJNE A,#0FFH,LOOP&l

86、t;/p><p>  ACALL Kexam ; 有鍵入?</p><p><b>  JZ JUCHI</b></p><p>  ACALL GetKey ; 讀入鍵碼</p><p>  ANL A, #0Fh </p><p>  C

87、JNE A,#01H,JU1</p><p>  MOV PINLU,#00H</p><p>  AJMP ZHENGXIAN</p><p>  JU1:CJNE A,#03H,JU2</p><p>  MOV PINLU,#00H</p><p>  AJMP SHANJIAO </p>&l

88、t;p>  JU2:CJNE A,#05H,JU3</p><p>  MOV PINLU,A</p><p>  AJMP JUCHI</p><p>  JU3:CJNE A,#04H,JUCHI</p><p>  AJMP TINGZHI</p><p>  ;**********三角波*********

89、*/</p><p>  SHANJIAO: </p><p>  MOV DPTR,#7FFFH</p><p>  MOV R4,#00H</p><p>  LOOP1:MOV A,R4</p><p>  MOVX @DPTR,A</p>

90、<p>  MOV R5,PINLU</p><p>  CJNE R5,#05H,XJT3 </p><p><b>  ACALL DLY</b></p><p>  XJT3:INC R4</p><p>  CJNE A,#0FFH,LOOP1</p><p> 

91、 LOOP2:MOVX @DPTR,A</p><p>  MOV R5,PINLU</p><p>  CJNE R5,#05H,XJT4 </p><p><b>  ACALL DLY</b></p><p>  XJT4:DEC A</p><p>  CJNE A,#00H,

92、LOOP2</p><p>  ACALL Kexam ; 有鍵入?</p><p>  JZ SHANJIAO</p><p>  ACALL GetKey ; 讀入鍵碼</p><p>  ANL A, #0Fh</p><p>  CJNE A,#01H,SH1</

93、p><p>  MOV PINLU,#00H </p><p>  AJMP ZHENGXIAN</p><p>  SH1:CJNE A,#02H,SH2</p><p>  MOV PINLU,#00H</p><p>  AJMP JUCHI</p><p>  SH2:CJNE

94、A,#05H,SH3</p><p>  MOV PINLU,A</p><p>  AJMP SHANJIAO</p><p>  SH3:CJNE A,#04H,SHANJIAO</p><p>  AJMP TINGZHI</p><p>  ;***********停止輸出************/</p

95、><p>  TINGZHI: </p><p>  MOV DPTR,#7FFFH</p><p>  MOV R5,#00H</p><p><b>  MOV A,R5</b></p><p>  MOVX @DPTR,A</p><p>

96、<b>  AJMP L1</b></p><p>  Kexam:MOV A,P1</p><p><b>  CPL A</b></p><p>  ANL A,#1FH ;高三位不用</p><p><b>  RET</b></p>

97、<p>  GetKey: ;讀鍵值子程序</p><p>  MOV R1,#01H</p><p>  MOV R2,#05H</p><p><b>  CPL A</b></p><p><b>  CLR C</b></p&

98、gt;<p>  Get: RRC A</p><p><b>  JNC JS</b></p><p><b>  INC R1</b></p><p>  DJNZ R2,Get </p><p>  JS:MOV A,R1</p><p><

99、;b>  RET</b></p><p>  DLY:MOV R5,#02H</p><p>  DK:MOV R6,#01H</p><p>  DK1:DJNZ R6,DK1</p><p>  DJNZ R5,DK</p><p><b>  RET</b></p&g

100、t;<p><b>  KEND:END</b></p><p><b>  第四章 系統(tǒng)調(diào)試</b></p><p>  上面幾個章節(jié)是系統(tǒng)的設(shè)計思想,本章節(jié)將詳細(xì)論述各個組成部分的調(diào)試與實現(xiàn)過程。</p><p>  在整個調(diào)試的過程中可以充分感受到,對于單片機(jī)來說,硬件的調(diào)試需要借助軟件完成,而軟件的

101、調(diào)試則依賴于硬件電路的實現(xiàn),兩者互相依賴,也互相支持,要兩者都成功了,才能算是一個完整的系統(tǒng)。</p><p><b>  4.1硬件系統(tǒng)調(diào)試</b></p><p>  4.1.1 AT89S51單片機(jī)調(diào)試</p><p>  由于AT89S51是整個系統(tǒng)的控制芯片,因此首先要確保它的正常工作。而想到一般單片機(jī)都能工作,就把AT89S51配

102、合示波器一起調(diào)試。</p><p>  按電路圖顯示部分的電路在面包板上插好,向單片機(jī)寫上簡單的程序,接上示波器,接通電路,實踐證明單片機(jī)能正常工作。</p><p>  4.1.2 波形輸出及放大電路調(diào)試</p><p>  按電路圖接好后,利用示波器顯示輸出波形。開始調(diào)試時,輸出波形失真較大且不穩(wěn)定。后來堅持資料的典型電路圖,發(fā)現(xiàn)0382的18腳要接地。修改好

103、后,波形失真不大,且腳穩(wěn)定,說明芯片能正常工作。</p><p>  放大電路部分,由于采用了LM358作為電壓放大器,負(fù)載可以得到不失真的電壓信號。電路具有高電壓增益、高輸入阻抗和低輸出阻抗的特點,因此要實現(xiàn)信號放大的作用較易。電路功能實現(xiàn)。</p><p>  到此,硬件調(diào)試基本完畢。</p><p>  4.1.3 電路板的制作</p><

104、;p>  若本系統(tǒng)制作成功,可以實現(xiàn)較大的功能,可以作為單獨的器件使用。但考慮到本設(shè)計電路器件不算多,設(shè)計中還是采用單面板制作。因為PCB板制作中難度較大,要注意解決一下問題:</p><p>  (1)感光板制作部分</p><p>  設(shè)計好的電路圖用激光(噴墨)打印機(jī)以透明、半透明或70g復(fù)印紙打印出(激光最細(xì)0.2mm,噴墨最細(xì)0.3mm)</p><p&

105、gt;  電木板用大介紙刀將上下兩面各割深約0.2mm左右刀痕,再予以折斷。</p><p>  最重要的是曝光時間的把握,不能完全按照廠家建議的時間。每塊板的時間都有所差別,應(yīng)該先用一小塊做實驗,以試驗所需的時間為準(zhǔn)。</p><p><b>  (2)PCB板制作</b></p><p>  焊盤中心距小于2.5MM 的,相鄰的焊盤周邊要有

106、絲印油包裹,印油寬度為0.2MM(議0.5MM). </p><p>  跳線不要放在IC 下面或馬達(dá)、電位器以及其它大體積金屬外殼的元件下。</p><p>  電解電容不可觸及發(fā)熱元件,大功率電阻,敏電阻,壓器, 熱器等.解電容與散熱器的間隔最小為10.0MM,它元件到散熱器的間隔最小為2.0MM。</p><p>  上錫位不能有絲印油。</p>

107、<p><b>  4.2軟件系統(tǒng)調(diào)試</b></p><p>  要實現(xiàn)按鍵控制輸出信號的種類的選擇,需要檢測鍵盤是否被按下,按下的是哪個鍵。由于本電路中按鍵電路與單片機(jī)P1口直接相連,則按鍵的檢測可直接讀取單片機(jī)P1口的電平即可。</p><p>  按鍵控制選擇輸出信號波形程序:</p><p>  由于輸出信號波形分別由三個

108、按鍵控制,為此,當(dāng)程序檢測到相應(yīng)的按鍵時,程序自動跳到相應(yīng)的波形輸出子程序。則電路就產(chǎn)生相應(yīng)的波形。第四個按鍵為停止鍵。</p><p><b>  結(jié)束語</b></p><p>  本系統(tǒng)是基于單片機(jī)AT89S51的低頻信號發(fā)生器。主要綜合運用所學(xué)的單片機(jī)、模擬電路、程序設(shè)計等方面的知識來完成的。在本文中介紹了單片機(jī)AT89S51控制DAC0832產(chǎn)生三種基本波形

109、:正弦波、三角波、鋸齒波的設(shè)計及實現(xiàn)。著重分析了單片機(jī)控制DAC0832的電路,復(fù)位電路的選擇,波形放大電路等,重點講述了軟件編程的原理,查表法產(chǎn)生正弦波的程序編程原理,三角波、鋸齒波的程序產(chǎn)生等編程思想。最后附上了系統(tǒng)的軟件實現(xiàn)程序及實現(xiàn)過程。本系統(tǒng)結(jié)構(gòu)簡單、成本低、體積小,是方便實用的函數(shù)信號發(fā)生器。</p><p>  在設(shè)計和制作本發(fā)生器的過程中,我學(xué)到了很多的東西,讓我對大學(xué)所學(xué)的專業(yè)知識有了更深的理解

110、,對融合各科知識,進(jìn)行綜合應(yīng)用的能力有了進(jìn)一步的鍛煉和加強(qiáng)。首先,我提高了查找資料的能力,學(xué)會查找閱讀資料和利用工具書根據(jù)系統(tǒng)和設(shè)計思路的要求,一步一步找到適合的集成塊,在參考他人的設(shè)計思路和思想,完成自己的設(shè)計。另外,我也增加了不少專業(yè)知識,根據(jù)所找的資料,要讀懂了才能進(jìn)行電路設(shè)計和編程,在這次制作中,我對PCB畫圖也更加熟練了。我在這個制作發(fā)生器的過程中大大提高了自己的實踐能力。</p><p>  在這個過

111、程中,我得到了我的指導(dǎo)老師**老師的很多幫助,還有實驗室的老師們。他(她)們?yōu)槲抑瓢濉⒄{(diào)試程序提供了實驗設(shè)備,帶來了許多便利。在此表示衷心的感謝!特別是我的指導(dǎo)老師**老師,即使在節(jié)假日,他也抽空來指導(dǎo)我們,放棄了自己寶貴的休息時間,指導(dǎo)我們查找所需要的資料,讓我們能在總體上把握設(shè)計的思想和要領(lǐng),在這里我要表示誠摯的敬意和衷心的感謝!</p><p><b>  參考文獻(xiàn):</b></

112、p><p>  1:《電子線路設(shè)計·實驗·測試》 謝自美主編,華中科技大學(xué)出版社</p><p>  2:《單片機(jī)在控制系統(tǒng)中的應(yīng)用》 余永權(quán)主編,電子工業(yè)出版社</p><p>  3:《MCS—51單片機(jī)原理及接口技術(shù)》 孫玉德主編,哈爾濱工業(yè)大學(xué)出版社</p><p>  4:《MCS—51單片機(jī)實用子程序及其應(yīng)用實例》

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論